vendor.intel: implement `add_settings` (QSF) and `add_constraints` (SDC) overrides.
[nmigen.git] / .codecov.yml
1 coverage:
2 status:
3 project:
4 default: false