test uninited FFs with const clks and fix btor script for this
[SymbiYosys.git] / .gitignore
1 /docs/build
2 /sbysrc/demo[0-9]
3 /sbysrc/__pycache__
4