bec9f8321b8a476357736c987475e490267c4fdb
[yosys.git] / CHANGELOG
1
2 List of major changes and improvements between releases
3 =======================================================
4
5 Yosys 0.16 .. Yosys 0.16-dev
6 --------------------------
7
8 Yosys 0.15 .. Yosys 0.16
9 --------------------------
10 * Various
11 - Added BTOR2 witness file co-simulation.
12 - Simulation calls external vcd2fst for VCD conversion.
13 - Added fst2tb pass - generates testbench for the circuit using
14 the given top-level module and simulus signal from FST file.
15 - yosys-smtbmc: Option to keep going after failed assertions in BMC mode
16
17 * Verific support
18 - Import modules in alphabetic (reproducable) order.
19
20 Yosys 0.14 .. Yosys 0.15
21 --------------------------
22
23 * Various
24 - clk2fflogic: nice names for autogenerated signals
25 - simulation include support for all flip-flop types.
26 - Added AIGER witness file co-simulation.
27
28 * Verilog
29 - Fixed evaluation of constant functions with variables or arguments with
30 reversed dimensions
31 - Fixed elaboration of dynamic range assignments where the vector is
32 reversed or is not zero-indexed
33 - Added frontend support for time scale delay values (e.g., `#1ns`)
34
35 * SystemVerilog
36 - Added support for accessing whole sub-structures in expressions
37
38 * New commands and options
39 - Added glift command, used to create gate-level information flow tracking
40 (GLIFT) models by the "constructive mapping" approach
41
42 * Verific support
43 - Ability to override default parser mode for verific -f command.
44
45 Yosys 0.13 .. Yosys 0.14
46 --------------------------
47
48 * Various
49 - Added $bmux and $demux cells and related optimization patterns.
50
51 * New commands and options
52 - Added "bmuxmap" and "dmuxmap" passes
53 - Added "-fst" option to "sim" pass for writing FST files
54 - Added "-r", "-scope", "-start", "-stop", "-at", "-sim", "-sim-gate",
55 "-sim-gold" options to "sim" pass for co-simulation
56
57 * Anlogic support
58 - Added support for BRAMs
59
60 Yosys 0.12 .. Yosys 0.13
61 --------------------------
62
63 * Various
64 - Use "read" command to parse HDL files from Yosys command-line
65 - Added "yosys -r <topmodule>" command line option
66 - write_verilog: dump zero width sigspecs correctly
67
68 * SystemVerilog
69 - Fixed regression preventing the use array querying functions in case
70 expressions and case item expressions
71 - Fixed static size casts inadvertently limiting the result width of binary
72 operations
73 - Fixed static size casts ignoring expression signedness
74 - Fixed static size casts not extending unbased unsized literals
75 - Added automatic `nosync` inference for local variables in `always_comb`
76 procedures which are always assigned before they are used to avoid errant
77 latch inference
78
79 * New commands and options
80 - Added "clean_zerowidth" pass
81
82 * Verific support
83 - Add YOSYS to the implicitly defined verilog macros in verific
84
85 Yosys 0.11 .. Yosys 0.12
86 --------------------------
87
88 * Various
89 - Added iopadmap native support for negative-polarity output enable
90 - ABC update
91
92 * SystemVerilog
93 - Support parameters using struct as a wiretype
94
95 * New commands and options
96 - Added "-genlib" option to "abc" pass
97 - Added "sta" very crude static timing analysis pass
98
99 * Verific support
100 - Fixed memory block size in import
101
102 * New back-ends
103 - Added support for GateMate FPGA from Cologne Chip AG
104
105 * Intel ALM support
106 - Added preliminary Arria V support
107
108
109 Yosys 0.10 .. Yosys 0.11
110 --------------------------
111
112 * Various
113 - Added $aldff and $aldffe (flip-flops with async load) cells
114
115 * SystemVerilog
116 - Fixed an issue which prevented writing directly to a memory word via a
117 connection to an output port
118 - Fixed an issue which prevented unbased unsized literals (e.g., `'1`) from
119 filling the width of a cell input
120 - Fixed an issue where connecting a slice covering the entirety of a signed
121 signal to a cell input would cause a failed assertion
122
123 * Verific support
124 - Importer support for {PRIM,WIDE_OPER}_DFF
125 - Importer support for PRIM_BUFIF1
126 - Option to use Verific without VHDL support
127 - Importer support for {PRIM,WIDE_OPER}_DLATCH{,RS}
128 - Added -cfg option for getting/setting Verific runtime flags
129
130 Yosys 0.9 .. Yosys 0.10
131 --------------------------
132
133 * Various
134 - Added automatic gzip decompression for frontends
135 - Added $_NMUX_ cell type
136 - Added automatic gzip compression (based on filename extension) for backends
137 - Improve attribute and parameter encoding in JSON to avoid ambiguities between
138 bit vectors and strings containing [01xz]*
139 - Improvements in pmgen: subpattern and recursive matches
140 - Support explicit FIRRTL properties
141 - Improvements in pmgen: slices, choices, define, generate
142 - Added "_TECHMAP_WIREINIT_*_" parameter and "_TECHMAP_REMOVEINIT_*_" wire for "techmap" pass
143 - Added +/mul2dsp.v for decomposing wide multipliers to custom-sized ones
144 - Added new frontend: rpc
145 - Added --version and -version as aliases for -V
146 - Improve yosys-smtbmc "solver not found" handling
147 - Improved support of $readmem[hb] Memory Content File inclusion
148 - Added CXXRTL backend
149 - Use YosysHQ/abc instead of upstream berkeley-abc/abc
150 - Added WASI platform support.
151 - Added extmodule support to firrtl backend
152 - Added $divfloor and $modfloor cells
153 - Added $adffe, $dffsre, $sdff, $sdffe, $sdffce, $adlatch cells
154 - Added "_TECHMAP_CELLNAME_" parameter for "techmap" pass
155 - Added firrtl backend support for generic parameters in blackbox components
156 - Added $meminit_v2 cells (with support for write mask)
157 - Added $mem_v2, $memrd_v2, $memwr_v2, with the following features:
158 - write priority masks, per write/write port pair
159 - transparency and undefined collision behavior masks, per read/write port pair
160 - read port reset and initialization
161 - wide ports (accessing a naturally aligned power-of-two number of memory cells)
162
163 * New commands and options
164 - Added "write_xaiger" backend
165 - Added "read_xaiger"
166 - Added "abc9" pass for timing-aware techmapping (experimental, FPGA only)
167 - Added "synth -abc9" (experimental)
168 - Added "script -scriptwire"
169 - Added "clkbufmap" pass
170 - Added "extractinv" pass and "invertible_pin" attribute
171 - Added "proc_clean -quiet"
172 - Added "proc_prune" pass
173 - Added "stat -tech cmos"
174 - Added "opt_share" pass, run as part of "opt -full"
175 - Added "-match-init" option to "dff2dffs" pass
176 - Added "equiv_opt -multiclock"
177 - Added "techmap_autopurge" support to techmap
178 - Added "add -mod <modname[s]>"
179 - Added "paramap" pass
180 - Added "portlist" command
181 - Added "check -mapped"
182 - Added "check -allow-tbuf"
183 - Added "autoname" pass
184 - Added "write_verilog -extmem"
185 - Added "opt_mem" pass
186 - Added "scratchpad" pass
187 - Added "fminit" pass
188 - Added "opt_lut_ins" pass
189 - Added "logger" pass
190 - Added "show -nobg"
191 - Added "exec" command
192 - Added "design -delete"
193 - Added "design -push-copy"
194 - Added "qbfsat" command
195 - Added "select -unset"
196 - Added "dfflegalize" pass
197 - Removed "opt_expr -clkinv" option, made it the default
198 - Added "proc -nomux
199 - Merged "dffsr2dff", "opt_rmdff", "dff2dffe", "dff2dffs", "peepopt.dffmux" passes into a new "opt_dff" pass
200
201 * SystemVerilog
202 - Added checking of always block types (always_comb, always_latch and always_ff)
203 - Added support for wildcard port connections (.*)
204 - Added support for enum typedefs
205 - Added support for structs and packed unions.
206 - Allow constant function calls in for loops and generate if and case
207 - Added support for static cast
208 - Added support for logic typed parameters
209 - Fixed generate scoping issues
210 - Added support for real-valued parameters
211 - Allow localparams in constant functions
212 - Module name scope support
213 - Support recursive functions using ternary expressions
214 - Extended support for integer types
215 - Support for parameters without default values
216 - Allow globals in one file to depend on globals in another
217 - Added support for: *=, /=, %=, <<=, >>=, <<<=, >>>=
218 - Added support for parsing the 'bind' construct
219 - support declaration in procedural for initialization
220 - support declaration in generate for initialization
221 - Support wand and wor of data types
222
223 * Verific support
224 - Added "verific -L"
225 - Add Verific SVA support for "always" properties
226 - Add Verific support for SVA nexttime properties
227 - Improve handling of verific primitives in "verific -import -V" mode
228 - Import attributes for wires
229 - Support VHDL enums
230 - Added support for command files
231
232 * New back-ends
233 - Added initial EFINIX support
234 - Added Intel ALM: alternative synthesis for Intel FPGAs
235 - Added initial Nexus support
236 - Added initial MachXO2 support
237 - Added initial QuickLogic PolarPro 3 support
238
239 * ECP5 support
240 - Renamed labels/options in synth_ecp5 (e.g. dram -> map_lutram; -nodram -> -nolutram)
241 - Added "synth_ecp5 -abc9" (experimental)
242 - Added "synth_ecp5 -nowidelut"
243 - "synth_ecp5" to now infer DSP blocks (-nodsp to disable, experimental)
244
245 * iCE40 support
246 - Added "synth_ice40 -abc9" (experimental)
247 - Added "synth_ice40 -device"
248 - Renamed labels/options in synth_ice40 (e.g. dram -> map_lutram; -nodram -> -nolutram)
249 - Added "ice40_wrapcarry" to encapsulate SB_LUT+SB_CARRY pairs for techmapping
250 - Removed "ice40_unlut"
251 - Added "ice40_dsp" for Lattice iCE40 DSP packing
252 - "synth_ice40 -dsp" to infer DSP blocks
253
254 * Xilinx support
255 - Added "synth_xilinx -abc9" (experimental)
256 - Added "synth_xilinx -nocarry"
257 - Added "synth_xilinx -nowidelut"
258 - "synth_xilinx" to now infer wide multiplexers (-widemux <min> to enable)
259 - Renamed labels/options in synth_xilinx (e.g. dram -> map_lutram; -nodram -> -nolutram)
260 - Added "synth_xilinx -family xc6s" for Spartan 6 support (experimental)
261 - Added "synth_xilinx -ise" (experimental)
262 - Added "synth_xilinx -iopad"
263 - "synth_xilinx" now automatically inserts clock buffers (add -noclkbuf to disable)
264 - Added "xilinx_srl" for Xilinx shift register extraction
265 - Removed "shregmap -tech xilinx" (superseded by "xilinx_srl")
266 - Added "xilinx_dsp" for Xilinx DSP packing
267 - "synth_xilinx" to now infer DSP blocks (-nodsp to disable)
268 - Added latch support to synth_xilinx
269 - Added support for flip-flops with synchronous reset to synth_xilinx
270 - Added support for flip-flops with reset and enable to synth_xilinx
271 - Added "xilinx_dffopt" pass
272 - Added "synth_xilinx -dff"
273
274 * Intel support
275 - Renamed labels in synth_intel (e.g. bram -> map_bram)
276 - synth_intel: cyclone10 -> cyclone10lp, a10gx -> arria10gx
277 - Added "intel_alm -abc9" (experimental)
278
279 * CoolRunner2 support
280 - Separate and improve buffer cell insertion pass
281 - Use extract_counter to optimize counters
282
283 Yosys 0.8 .. Yosys 0.9
284 ----------------------
285
286 * Various
287 - Many bugfixes and small improvements
288 - Added support for SystemVerilog interfaces and modports
289 - Added "write_edif -attrprop"
290 - Added "opt_lut" pass
291 - Added "gate2lut.v" techmap rule
292 - Added "rename -src"
293 - Added "equiv_opt" pass
294 - Added "flowmap" LUT mapping pass
295 - Added "rename -wire" to rename cells based on the wires they drive
296 - Added "bugpoint" for creating minimised testcases
297 - Added "write_edif -gndvccy"
298 - "write_verilog" to escape Verilog keywords
299 - Fixed sign handling of real constants
300 - "write_verilog" to write initial statement for initial flop state
301 - Added pmgen pattern matcher generator
302 - Fixed opt_rmdff handling of $_DFFSR_???_ and $_DLATCHSR_???_
303 - Added "setundef -params" to replace undefined cell parameters
304 - Renamed "yosys -D" to "yosys -U", added "yosys -D" to set Verilog defines
305 - Fixed handling of defparam when default_nettype is none
306 - Fixed "wreduce" flipflop handling
307 - Fixed FIRRTL to Verilog process instance subfield assignment
308 - Added "write_verilog -siminit"
309 - Several fixes and improvements for mem2reg memories
310 - Fixed handling of task output ports in clocked always blocks
311 - Improved handling of and-with-1 and or-with-0 in "opt_expr"
312 - Added "read_aiger" frontend
313 - Added "mutate" pass
314 - Added "hdlname" attribute
315 - Added "rename -output"
316 - Added "read_ilang -lib"
317 - Improved "proc" full_case detection and handling
318 - Added "whitebox" and "lib_whitebox" attributes
319 - Added "read_verilog -nowb", "flatten -wb" and "wbflip"
320 - Added Python bindings and support for Python plug-ins
321 - Added "pmux2shiftx"
322 - Added log_debug framework for reduced default verbosity
323 - Improved "opt_expr" and "opt_clean" handling of (partially) undriven and/or unused wires
324 - Added "peepopt" peephole optimisation pass using pmgen
325 - Added approximate support for SystemVerilog "var" keyword
326 - Added parsing of "specify" blocks into $specrule and $specify[23]
327 - Added support for attributes on parameters and localparams
328 - Added support for parsing attributes on port connections
329 - Added "wreduce -keepdc"
330 - Added support for optimising $dffe and $_DFFE_* cells in "opt_rmdff"
331 - Added Verilog wand/wor wire type support
332 - Added support for elaboration system tasks
333 - Added "muxcover -mux{4,8,16}=<cost>"
334 - Added "muxcover -dmux=<cost>"
335 - Added "muxcover -nopartial"
336 - Added "muxpack" pass
337 - Added "pmux2shiftx -norange"
338 - Added support for "~" in filename parsing
339 - Added "read_verilog -pwires" feature to turn parameters into wires
340 - Fixed sign extension of unsized constants with 'bx and 'bz MSB
341 - Fixed genvar to be a signed type
342 - Added support for attributes on case rules
343 - Added "upto" and "offset" to JSON frontend and backend
344 - Several liberty file parser improvements
345 - Fixed handling of more complex BRAM patterns
346 - Add "write_aiger -I -O -B"
347
348 * Formal Verification
349 - Added $changed support to read_verilog
350 - Added "read_verilog -noassert -noassume -assert-assumes"
351 - Added btor ops for $mul, $div, $mod and $concat
352 - Added yosys-smtbmc support for btor witnesses
353 - Added "supercover" pass
354 - Fixed $global_clock handling vs autowire
355 - Added $dffsr support to "async2sync"
356 - Added "fmcombine" pass
357 - Added memory init support in "write_btor"
358 - Added "cutpoint" pass
359 - Changed "ne" to "neq" in btor2 output
360 - Added support for SVA "final" keyword
361 - Added "fmcombine -initeq -anyeq"
362 - Added timescale and generated-by header to yosys-smtbmc vcd output
363 - Improved BTOR2 handling of undriven wires
364
365 * Verific support
366 - Enabled Verific flags vhdl_support_variable_slice and veri_elaborate_top_level_modules_having_interface_ports
367 - Improved support for asymmetric memories
368 - Added "verific -chparam"
369 - Fixed "verific -extnets" for more complex situations
370 - Added "read -verific" and "read -noverific"
371 - Added "hierarchy -chparam"
372
373 * New back-ends
374 - Added initial Anlogic support
375 - Added initial SmartFusion2 and IGLOO2 support
376
377 * ECP5 support
378 - Added "synth_ecp5 -nowidelut"
379 - Added BRAM inference support to "synth_ecp5"
380 - Added support for transforming Diamond IO and flipflop primitives
381
382 * iCE40 support
383 - Added "ice40_unlut" pass
384 - Added "synth_ice40 -relut"
385 - Added "synth_ice40 -noabc"
386 - Added "synth_ice40 -dffe_min_ce_use"
387 - Added DSP inference support using pmgen
388 - Added support for initialising BRAM primitives from a file
389 - Added iCE40 Ultra RGB LED driver cells
390
391 * Xilinx support
392 - Use "write_edif -pvector bra" for Xilinx EDIF files
393 - Fixes for VPR place and route support with "synth_xilinx"
394 - Added more cell simulation models
395 - Added "synth_xilinx -family"
396 - Added "stat -tech xilinx" to estimate logic cell usage
397 - Added "synth_xilinx -nocarry"
398 - Added "synth_xilinx -nowidelut"
399 - "synth_xilinx" to now infer hard shift registers (-nosrl to disable)
400 - Added support for mapping RAM32X1D
401
402 Yosys 0.7 .. Yosys 0.8
403 ----------------------
404
405 * Various
406 - Many bugfixes and small improvements
407 - Strip debug symbols from installed binary
408 - Replace -ignore_redef with -[no]overwrite in front-ends
409 - Added write_verilog hex dump support, add -nohex option
410 - Added "write_verilog -decimal"
411 - Added "scc -set_attr"
412 - Added "verilog_defines" command
413 - Remember defines from one read_verilog to next
414 - Added support for hierarchical defparam
415 - Added FIRRTL back-end
416 - Improved ABC default scripts
417 - Added "design -reset-vlog"
418 - Added "yosys -W regex", "yosys -w regex", and "yosys -e regex"
419 - Added Verilog $rtoi and $itor support
420 - Added "check -initdrv"
421 - Added "read_blif -wideports"
422 - Added support for SystemVerilog "++" and "--" operators
423 - Added support for SystemVerilog unique, unique0, and priority case
424 - Added "write_edif" options for edif "flavors"
425 - Added support for resetall compiler directive
426 - Added simple C beck-end (bitwise combinatorical only atm)
427 - Added $_ANDNOT_ and $_ORNOT_ cell types
428 - Added cell library aliases to "abc -g"
429 - Added "setundef -anyseq"
430 - Added "chtype" command
431 - Added "design -import"
432 - Added "write_table" command
433 - Added "read_json" command
434 - Added "sim" command
435 - Added "extract_fa" and "extract_reduce" commands
436 - Added "extract_counter" command
437 - Added "opt_demorgan" command
438 - Added support for $size and $bits SystemVerilog functions
439 - Added "blackbox" command
440 - Added "ltp" command
441 - Added support for editline as replacement for readline
442 - Added warnings for driver-driver conflicts between FFs (and other cells) and constants
443 - Added "yosys -E" for creating Makefile dependencies files
444 - Added "synth -noshare"
445 - Added "memory_nordff"
446 - Added "setundef -undef -expose -anyconst"
447 - Added "expose -input"
448 - Added specify/specparam parser support (simply ignore them)
449 - Added "write_blif -inames -iattr"
450 - Added "hierarchy -simcheck"
451 - Added an option to statically link abc into yosys
452 - Added protobuf back-end
453 - Added BLIF parsing support for .conn and .cname
454 - Added read_verilog error checking for reg/wire/logic misuse
455 - Added "make coverage" and ENABLE_GCOV build option
456
457 * Changes in Yosys APIs
458 - Added ConstEval defaultval feature
459 - Added {get,set}_src_attribute() methods on RTLIL::AttrObject
460 - Added SigSpec::is_fully_ones() and Const::is_fully_ones()
461 - Added log_file_warning() and log_file_error() functions
462
463 * Formal Verification
464 - Added "write_aiger"
465 - Added "yosys-smtbmc --aig"
466 - Added "always <positive_int>" to .smtc format
467 - Added $cover cell type and support for cover properties
468 - Added $fair/$live cell type and support for liveness properties
469 - Added smtbmc support for memory vcd dumping
470 - Added "chformal" command
471 - Added "write_smt2 -stbv" and "write_smt2 -stdt"
472 - Fix equiv_simple, old behavior now available with "equiv_simple -short"
473 - Change to Yices2 as default SMT solver (it is GPL now)
474 - Added "yosys-smtbmc --presat" (now default in SymbiYosys)
475 - Added "yosys-smtbmc --smtc-init --smtc-top --noinit"
476 - Added a brand new "write_btor" command for BTOR2
477 - Added clk2fflogic memory support and other improvements
478 - Added "async memory write" support to write_smt2
479 - Simulate clock toggling in yosys-smtbmc VCD output
480 - Added $allseq/$allconst cells for EA-solving
481 - Make -nordff the default in "prep"
482 - Added (* gclk *) attribute
483 - Added "async2sync" pass for single-clock designs with async resets
484
485 * Verific support
486 - Many improvements in Verific front-end
487 - Added proper handling of concurent SVA properties
488 - Map "const" and "rand const" to $anyseq/$anyconst
489 - Added "verific -import -flatten" and "verific -import -extnets"
490 - Added "verific -vlog-incdir -vlog-define -vlog-libdir"
491 - Remove PSL support (because PSL has been removed in upstream Verific)
492 - Improve integration with "hierarchy" command design elaboration
493 - Added YOSYS_NOVERIFIC for running non-verific test cases with verific bin
494 - Added simpilied "read" command that automatically uses verific if available
495 - Added "verific -set-<severity> <msg_id>.."
496 - Added "verific -work <libname>"
497
498 * New back-ends
499 - Added initial Coolrunner-II support
500 - Added initial eASIC support
501 - Added initial ECP5 support
502
503 * GreenPAK Support
504 - Added support for GP_DLATCH, GP_SPI, GP_DCMx, GP_COUNTx, etc.
505
506 * iCE40 Support
507 - Add "synth_ice40 -vpr"
508 - Add "synth_ice40 -nodffe"
509 - Add "synth_ice40 -json"
510 - Add Support for UltraPlus cells
511
512 * MAX10 and Cyclone IV Support
513 - Added initial version of metacommand "synth_intel".
514 - Improved write_verilog command to produce VQM netlist for Quartus Prime.
515 - Added support for MAX10 FPGA family synthesis.
516 - Added support for Cyclone IV family synthesis.
517 - Added example of implementation for DE2i-150 board.
518 - Added example of implementation for MAX10 development kit.
519 - Added LFSR example from Asic World.
520 - Added "dffinit -highlow" for mapping to Intel primitives
521
522
523 Yosys 0.6 .. Yosys 0.7
524 ----------------------
525
526 * Various
527 - Added "yosys -D" feature
528 - Added support for installed plugins in $(DATDIR)/plugins/
529 - Renamed opt_const to opt_expr
530 - Renamed opt_share to opt_merge
531 - Added "prep -flatten" and "synth -flatten"
532 - Added "prep -auto-top" and "synth -auto-top"
533 - Using "mfs" and "lutpack" in ABC lut mapping
534 - Support for abstract modules in chparam
535 - Cleanup abstract modules at end of "hierarchy -top"
536 - Added tristate buffer support to iopadmap
537 - Added opt_expr support for div/mod by power-of-two
538 - Added "select -assert-min <N> -assert-max <N>"
539 - Added "attrmvcp" pass
540 - Added "attrmap" command
541 - Added "tee +INT -INT"
542 - Added "zinit" pass
543 - Added "setparam -type"
544 - Added "shregmap" pass
545 - Added "setundef -init"
546 - Added "nlutmap -assert"
547 - Added $sop cell type and "abc -sop -I <num> -P <num>"
548 - Added "dc2" to default ABC scripts
549 - Added "deminout"
550 - Added "insbuf" command
551 - Added "prep -nomem"
552 - Added "opt_rmdff -keepdc"
553 - Added "prep -nokeepdc"
554 - Added initial version of "synth_gowin"
555 - Added "fsm_expand -full"
556 - Added support for fsm_encoding="user"
557 - Many improvements in GreenPAK4 support
558 - Added black box modules for all Xilinx 7-series lib cells
559 - Added synth_ice40 support for latches via logic loops
560 - Fixed ice40_opt lut unmapping, added "ice40_opt -unlut"
561
562 * Build System
563 - Added ABCEXTERNAL and ABCURL make variables
564 - Added BINDIR, LIBDIR, and DATDIR make variables
565 - Added PKG_CONFIG make variable
566 - Added SEED make variable (for "make test")
567 - Added YOSYS_VER_STR make variable
568 - Updated min GCC requirement to GCC 4.8
569 - Updated required Bison version to Bison 3.x
570
571 * Internal APIs
572 - Added ast.h to exported headers
573 - Added ScriptPass helper class for script-like passes
574 - Added CellEdgesDatabase API
575
576 * Front-ends and Back-ends
577 - Added filename glob support to all front-ends
578 - Added avail (black-box) module params to ilang format
579 - Added $display %m support
580 - Added support for $stop Verilog system task
581 - Added support for SystemVerilog packages
582 - Fixed procedural assignments to non-unique lvalues, e.g. {y,y} = {a,b}
583 - Added support for "active high" and "active low" latches in read_blif and write_blif
584 - Use init value "2" for all uninitialized FFs in BLIF back-end
585 - Added "read_blif -sop"
586 - Added "write_blif -noalias"
587 - Added various write_blif options for VTR support
588 - write_json: also write module attributes.
589 - Added "write_verilog -nodec -nostr -defparam"
590 - Added "read_verilog -norestrict -assume-asserts"
591 - Added support for bus interfaces to "read_liberty -lib"
592 - Added liberty parser support for types within cell decls
593 - Added "write_verilog -renameprefix -v"
594 - Added "write_edif -nogndvcc"
595
596 * Formal Verification
597 - Support for hierarchical designs in smt2 back-end
598 - Yosys-smtbmc: Support for hierarchical VCD dumping
599 - Added $initstate cell type and vlog function
600 - Added $anyconst and $anyseq cell types and vlog functions
601 - Added printing of code loc of failed asserts to yosys-smtbmc
602 - Added memory_memx pass, "memory -memx", and "prep -memx"
603 - Added "proc_mux -ifx"
604 - Added "yosys-smtbmc -g"
605 - Deprecated "write_smt2 -regs" (by default on now)
606 - Made "write_smt2 -bv -mem" default, added "write_smt2 -nobv -nomem"
607 - Added support for memories to smtio.py
608 - Added "yosys-smtbmc --dump-vlogtb"
609 - Added "yosys-smtbmc --smtc --dump-smtc"
610 - Added "yosys-smtbmc --dump-all"
611 - Added assertpmux command
612 - Added "yosys-smtbmc --unroll"
613 - Added $past, $stable, $rose, $fell SVA functions
614 - Added "yosys-smtbmc --noinfo and --dummy"
615 - Added "yosys-smtbmc --noincr"
616 - Added "yosys-smtbmc --cex <filename>"
617 - Added $ff and $_FF_ cell types
618 - Added $global_clock verilog syntax support for creating $ff cells
619 - Added clk2fflogic
620
621
622 Yosys 0.5 .. Yosys 0.6
623 ----------------------
624
625 * Various
626 - Added Contributor Covenant Code of Conduct
627 - Various improvements in dict<> and pool<>
628 - Added hashlib::mfp and refactored SigMap
629 - Improved support for reals as module parameters
630 - Various improvements in SMT2 back-end
631 - Added "keep_hierarchy" attribute
632 - Verilog front-end: define `BLACKBOX in -lib mode
633 - Added API for converting internal cells to AIGs
634 - Added ENABLE_LIBYOSYS Makefile option
635 - Removed "techmap -share_map" (use "-map +/filename" instead)
636 - Switched all Python scripts to Python 3
637 - Added support for $display()/$write() and $finish() to Verilog front-end
638 - Added "yosys-smtbmc" formal verification flow
639 - Added options for clang sanitizers to Makefile
640
641 * New commands and options
642 - Added "scc -expect <N> -nofeedback"
643 - Added "proc_dlatch"
644 - Added "check"
645 - Added "select %xe %cie %coe %M %C %R"
646 - Added "sat -dump_json" (WaveJSON format)
647 - Added "sat -tempinduct-baseonly -tempinduct-inductonly"
648 - Added "sat -stepsize" and "sat -tempinduct-step"
649 - Added "sat -show-regs -show-public -show-all"
650 - Added "write_json" (Native Yosys JSON format)
651 - Added "write_blif -attr"
652 - Added "dffinit"
653 - Added "chparam"
654 - Added "muxcover"
655 - Added "pmuxtree"
656 - Added memory_bram "make_outreg" feature
657 - Added "splice -wires"
658 - Added "dff2dffe -direct-match"
659 - Added simplemap $lut support
660 - Added "read_blif"
661 - Added "opt_share -share_all"
662 - Added "aigmap"
663 - Added "write_smt2 -mem -regs -wires"
664 - Added "memory -nordff"
665 - Added "write_smv"
666 - Added "synth -nordff -noalumacc"
667 - Added "rename -top new_name"
668 - Added "opt_const -clkinv"
669 - Added "synth -nofsm"
670 - Added "miter -assert"
671 - Added "read_verilog -noautowire"
672 - Added "read_verilog -nodpi"
673 - Added "tribuf"
674 - Added "lut2mux"
675 - Added "nlutmap"
676 - Added "qwp"
677 - Added "test_cell -noeval"
678 - Added "edgetypes"
679 - Added "equiv_struct"
680 - Added "equiv_purge"
681 - Added "equiv_mark"
682 - Added "equiv_add -try -cell"
683 - Added "singleton"
684 - Added "abc -g -luts"
685 - Added "torder"
686 - Added "write_blif -cname"
687 - Added "submod -copy"
688 - Added "dffsr2dff"
689 - Added "stat -liberty"
690
691 * Synthesis metacommands
692 - Various improvements in synth_xilinx
693 - Added synth_ice40 and synth_greenpak4
694 - Added "prep" metacommand for "synthesis lite"
695
696 * Cell library changes
697 - Added cell types to "help" system
698 - Added $meminit cell type
699 - Added $assume cell type
700 - Added $_MUX4_, $_MUX8_, and $_MUX16_ cells
701 - Added $tribuf and $_TBUF_ cell types
702 - Added read-enable to memory model
703
704 * YosysJS
705 - Various improvements in emscripten build
706 - Added alternative webworker-based JS API
707 - Added a few example applications
708
709
710 Yosys 0.4 .. Yosys 0.5
711 ----------------------
712
713 * API changes
714 - Added log_warning()
715 - Added eval_select_args() and eval_select_op()
716 - Added cell->known(), cell->input(portname), cell->output(portname)
717 - Skip blackbox modules in design->selected_modules()
718 - Replaced std::map<> and std::set<> with dict<> and pool<>
719 - New SigSpec::extend() is what used to be SigSpec::extend_u0()
720 - Added YS_OVERRIDE, YS_FINAL, YS_ATTRIBUTE, YS_NORETURN
721
722 * Cell library changes
723 - Added flip-flops with enable ($dffe etc.)
724 - Added $equiv cells for equivalence checking framework
725
726 * Various
727 - Updated ABC to hg rev 61ad5f908c03
728 - Added clock domain partitioning to ABC pass
729 - Improved plugin building (see "yosys-config --build")
730 - Added ENABLE_NDEBUG Makefile flag for high-performance builds
731 - Added "yosys -d", "yosys -L" and other driver improvements
732 - Added support for multi-bit (array) cell ports to "write_edif"
733 - Now printing most output to stdout, not stderr
734 - Added "onehot" attribute (set by "fsm_map")
735 - Various performance improvements
736 - Vastly improved Xilinx flow
737 - Added "make unsintall"
738
739 * Equivalence checking
740 - Added equivalence checking commands:
741 equiv_make equiv_simple equiv_status
742 equiv_induct equiv_miter
743 equiv_add equiv_remove
744
745 * Block RAM support:
746 - Added "memory_bram" command
747 - Added BRAM support to Xilinx flow
748
749 * Other New Commands and Options
750 - Added "dff2dffe"
751 - Added "fsm -encfile"
752 - Added "dfflibmap -prepare"
753 - Added "write_blid -unbuf -undef -blackbox"
754 - Added "write_smt2" for writing SMT-LIBv2 files
755 - Added "test_cell -w -muxdiv"
756 - Added "select -read"
757
758
759 Yosys 0.3.0 .. Yosys 0.4
760 ------------------------
761
762 * Platform Support
763 - Added support for mxe-based cross-builds for win32
764 - Added sourcecode-export as VisualStudio project
765 - Added experimental EMCC (JavaScript) support
766
767 * Verilog Frontend
768 - Added -sv option for SystemVerilog (and automatic *.sv file support)
769 - Added support for real-valued constants and constant expressions
770 - Added support for non-standard "via_celltype" attribute on task/func
771 - Added support for non-standard "module mod_name(...);" syntax
772 - Added support for non-standard """ macro bodies
773 - Added support for array with more than one dimension
774 - Added support for $readmemh and $readmemb
775 - Added support for DPI functions
776
777 * Changes in internal cell library
778 - Added $shift and $shiftx cell types
779 - Added $alu, $lcu, $fa and $macc cell types
780 - Removed $bu0 and $safe_pmux cell types
781 - $mem/$memwr WR_EN input is now a per-data-bit enable signal
782 - Added $_NAND_ $_NOR_ $_XNOR_ $_AOI3_ $_OAI3_ $_AOI4_ $_OAI4_
783 - Renamed ports of $lut cells (from I->O to A->Y)
784 - Renamed $_INV_ to $_NOT_
785
786 * Changes for simple synthesis flows
787 - There is now a "synth" command with a recommended default script
788 - Many improvements in synthesis of arithmetic functions to gates
789 - Multipliers and adders with many operands are using carry-save adder trees
790 - Remaining adders are now implemented using Brent-Kung carry look-ahead adders
791 - Various new high-level optimizations on RTL netlist
792 - Various improvements in FSM optimization
793 - Updated ABC to hg 5b5af75f1dda (from 2014-11-07)
794
795 * Changes in internal APIs and RTLIL
796 - Added log_id() and log_cell() helper functions
797 - Added function-like cell creation helpers
798 - Added GetSize() function (like .size() but with int)
799 - Major refactoring of RTLIL::Module and related classes
800 - Major refactoring of RTLIL::SigSpec and related classes
801 - Now RTLIL::IdString is essentially an int
802 - Added macros for code coverage counters
803 - Added some Makefile magic for pretty make logs
804 - Added "kernel/yosys.h" with all the core definitions
805 - Changed a lot of code from FILE* to c++ streams
806 - Added RTLIL::Monitor API and "trace" command
807 - Added "Yosys" C++ namespace
808
809 * Changes relevant to SAT solving
810 - Added ezSAT::keep_cnf() and ezSAT::non_incremental()
811 - Added native ezSAT support for vector shift ops
812 - Updated MiniSAT to git 37dc6c67e2 (from 2013-09-25)
813
814 * New commands (or large improvements to commands)
815 - Added "synth" command with default script
816 - Added "share" (finally some real resource sharing)
817 - Added "memory_share" (reduce number of ports on memories)
818 - Added "wreduce" and "alumacc" commands
819 - Added "opt -keepdc -fine -full -fast"
820 - Added some "test_*" commands
821
822 * Various other changes
823 - Added %D and %c select operators
824 - Added support for labels in yosys scripts
825 - Added support for here-documents in yosys scripts
826 - Support "+/" prefix for files from proc_share_dir
827 - Added "autoidx" statement to ilang language
828 - Switched from "yosys-svgviewer" to "xdot"
829 - Renamed "stdcells.v" to "techmap.v"
830 - Various bug fixes and small improvements
831 - Improved welcome and bye messages
832
833
834 Yosys 0.2.0 .. Yosys 0.3.0
835 --------------------------
836
837 * Driver program and overall behavior:
838 - Added "design -push" and "design -pop"
839 - Added "tee" command for redirecting log output
840
841 * Changes in the internal cell library:
842 - Added $dlatchsr and $_DLATCHSR_???_ cell types
843
844 * Improvements in Verilog frontend:
845 - Improved support for const functions (case, always, repeat)
846 - The generate..endgenerate keywords are now optional
847 - Added support for arrays of module instances
848 - Added support for "`default_nettype" directive
849 - Added support for "`line" directive
850
851 * Other front- and back-ends:
852 - Various changes to "write_blif" options
853 - Various improvements in EDIF backend
854 - Added "vhdl2verilog" pseudo-front-end
855 - Added "verific" pseudo-front-end
856
857 * Improvements in technology mapping:
858 - Added support for recursive techmap
859 - Added CONSTMSK and CONSTVAL features to techmap
860 - Added _TECHMAP_CONNMAP_*_ feature to techmap
861 - Added _TECHMAP_REPLACE_ feature to techmap
862 - Added "connwrappers" command for wrap-extract-unwrap method
863 - Added "extract -map %<design_name>" feature
864 - Added "extract -ignore_param ..." and "extract -ignore_parameters"
865 - Added "techmap -max_iter" option
866
867 * Improvements to "eval" and "sat" framework:
868 - Now include a copy of Minisat (with build fixes applied)
869 - Switched to Minisat::SimpSolver as SAT back-end
870 - Added "sat -dump_vcd" feature
871 - Added "sat -dump_cnf" feature
872 - Added "sat -initsteps <N>" feature
873 - Added "freduce -stop <N>" feature
874 - Added "freduce -dump <prefix>" feature
875
876 * Integration with ABC:
877 - Updated ABC rev to 7600ffb9340c
878
879 * Improvements in the internal APIs:
880 - Added RTLIL::Module::add... helper methods
881 - Various build fixes for OSX (Darwin) and OpenBSD
882
883
884 Yosys 0.1.0 .. Yosys 0.2.0
885 --------------------------
886
887 * Changes to the driver program:
888 - Added "yosys -h" and "yosys -H"
889 - Added support for backslash line continuation in scripts
890 - Added support for #-comments in same line as command
891 - Added "echo" and "log" commands
892
893 * Improvements in Verilog frontend:
894 - Added support for local registers in named blocks
895 - Added support for "case" in "generate" blocks
896 - Added support for $clog2 system function
897 - Added support for basic SystemVerilog assert statements
898 - Added preprocessor support for macro arguments
899 - Added preprocessor support for `elsif statement
900 - Added "verilog_defaults" command
901 - Added read_verilog -icells option
902 - Added support for constant sizes from parameters
903 - Added "read_verilog -setattr"
904 - Added support for function returning 'integer'
905 - Added limited support for function calls in parameter values
906 - Added "read_verilog -defer" to suppress evaluation of modules with default parameters
907
908 * Other front- and back-ends:
909 - Added BTOR backend
910 - Added Liberty frontend
911
912 * Improvements in technology mapping:
913 - The "dfflibmap" command now strongly prefers solutions with
914 no inverters in clock paths
915 - The "dfflibmap" command now prefers cells with smaller area
916 - Added support for multiple -map options to techmap
917 - Added "dfflibmap" support for //-comments in liberty files
918 - Added "memory_unpack" command to revert "memory_collect"
919 - Added standard techmap rule "techmap -share_map pmux2mux.v"
920 - Added "iopadmap -bits"
921 - Added "setundef" command
922 - Added "hilomap" command
923
924 * Changes in the internal cell library:
925 - Major rewrite of simlib.v for better compatibility with other tools
926 - Added PRIORITY parameter to $memwr cells
927 - Added TRANSPARENT parameter to $memrd cells
928 - Added RD_TRANSPARENT parameter to $mem cells
929 - Added $bu0 cell (always 0-extend, even undef MSB)
930 - Added $assert cell type
931 - Added $slice and $concat cell types
932
933 * Integration with ABC:
934 - Updated ABC to hg rev 2058c8ccea68
935 - Tighter integration of ABC build with Yosys build. The make
936 targets 'make abc' and 'make install-abc' are now obsolete.
937 - Added support for passing FFs from one clock domain through ABC
938 - Now always use BLIF as exchange format with ABC
939 - Added support for "abc -script +<command_sequence>"
940 - Improved standard ABC recipe
941 - Added support for "keep" attribute to abc command
942 - Added "abc -dff / -clk / -keepff" options
943
944 * Improvements to "eval" and "sat" framework:
945 - Added support for "0" and "~0" in right-hand side -set expressions
946 - Added "eval -set-undef" and "eval -table"
947 - Added "sat -set-init" and "sat -set-init-*" for sequential problems
948 - Added undef support to SAT solver, incl. various new "sat" options
949 - Added correct support for === and !== for "eval" and "sat"
950 - Added "sat -tempinduct" (default -seq is now non-induction sequential)
951 - Added "sat -prove-asserts"
952 - Complete rewrite of the 'freduce' command
953 - Added "miter" command
954 - Added "sat -show-inputs" and "sat -show-outputs"
955 - Added "sat -ignore_unknown_cells" (now produce an error by default)
956 - Added "sat -falsify"
957 - Now "sat -verify" and "sat -falsify" can also be used without "-prove"
958 - Added "expose" command
959 - Added support for @<sel_name> to sat and eval signal expressions
960
961 * Changes in the 'make test' framework and auxiliary test tools:
962 - Added autotest.sh -p and -f options
963 - Replaced autotest.sh ISIM support with XSIM support
964 - Added test cases for SAT framework
965
966 * Added "abbreviated IDs":
967 - Now $<something>$foo can be abbreviated as $foo.
968 - Usually this last part is a unique id (from RTLIL::autoidx)
969 - This abbreviated IDs are now also used in "show" output
970
971 * Other changes to selection framework:
972 - Now */ is optional in */<mode>:<arg> expressions
973 - Added "select -assert-none" and "select -assert-any"
974 - Added support for matching modules by attribute (A:<expr>)
975 - Added "select -none"
976 - Added support for r:<expr> pattern for matching cell parameters
977 - Added support for !=, <, <=, >=, > for attribute and parameter matching
978 - Added support for %s for selecting sub-modules
979 - Added support for %m for expanding selections to whole modules
980 - Added support for i:*, o:* and x:* pattern for selecting module ports
981 - Added support for s:<expr> pattern for matching wire width
982 - Added support for %a operation to select wire aliases
983
984 * Various other changes to commands and options:
985 - The "ls" command now supports wildcards
986 - Added "show -pause" and "show -format dot"
987 - Added "show -color" support for cells
988 - Added "show -label" and "show -notitle"
989 - Added "dump -m" and "dump -n"
990 - Added "history" command
991 - Added "rename -hide"
992 - Added "connect" command
993 - Added "splitnets -driver"
994 - Added "opt_const -mux_undef"
995 - Added "opt_const -mux_bool"
996 - Added "opt_const -undriven"
997 - Added "opt -mux_undef -mux_bool -undriven -purge"
998 - Added "hierarchy -libdir"
999 - Added "hierarchy -purge_lib" (by default now do not remove lib cells)
1000 - Added "delete" command
1001 - Added "dump -append"
1002 - Added "setattr" and "setparam" commands
1003 - Added "design -stash/-copy-from/-copy-to"
1004 - Added "copy" command
1005 - Added "splice" command
1006