Merge pull request #2326 from YosysHQ/mwk/peeopt-muldiv-sign
[yosys.git] / CHANGELOG
1
2 List of major changes and improvements between releases
3 =======================================================
4
5
6 Yosys 0.9 .. Yosys 0.9-dev
7 --------------------------
8
9 * Various
10 - Added "write_xaiger" backend
11 - Added "abc9" pass for timing-aware techmapping (experimental, FPGA only)
12 - Added "synth_xilinx -abc9" (experimental)
13 - Added "synth_ice40 -abc9" (experimental)
14 - Added "synth -abc9" (experimental)
15 - Added "script -scriptwire"
16 - Added "synth_xilinx -nocarry"
17 - Added "synth_xilinx -nowidelut"
18 - Added "synth_ecp5 -nowidelut"
19 - "synth_xilinx" to now infer wide multiplexers (-widemux <min> to enable)
20 - Renamed labels/options in synth_ice40 (e.g. dram -> map_lutram; -nodram -> -nolutram)
21 - Renamed labels/options in synth_ecp5 (e.g. dram -> map_lutram; -nodram -> -nolutram)
22 - Renamed labels in synth_intel (e.g. bram -> map_bram)
23 - Renamed labels/options in synth_xilinx (e.g. dram -> map_lutram; -nodram -> -nolutram)
24 - Added automatic gzip decompression for frontends
25 - Added $_NMUX_ cell type
26 - Added automatic gzip compression (based on filename extension) for backends
27 - Improve attribute and parameter encoding in JSON to avoid ambiguities between
28 bit vectors and strings containing [01xz]*
29 - Added "clkbufmap" pass
30 - Added "extractinv" pass and "invertible_pin" attribute
31 - Added "synth_xilinx -family xc6s" for Spartan 6 support (experimental)
32 - Added "synth_xilinx -ise" (experimental)
33 - Added "synth_xilinx -iopad"
34 - "synth_xilinx" now automatically inserts clock buffers (add -noclkbuf to disable)
35 - Improvements in pmgen: subpattern and recursive matches
36 - Added "opt_share" pass, run as part of "opt -full"
37 - Added "ice40_wrapcarry" to encapsulate SB_LUT+SB_CARRY pairs for techmapping
38 - Removed "ice40_unlut"
39 - Improvements in pmgen: slices, choices, define, generate
40 - Added "xilinx_srl" for Xilinx shift register extraction
41 - Removed "shregmap -tech xilinx" (superseded by "xilinx_srl")
42 - Added "_TECHMAP_WIREINIT_*_" parameter and "_TECHMAP_REMOVEINIT_*_" wire for "techmap" pass
43 - Added "-match-init" option to "dff2dffs" pass
44 - Added "techmap_autopurge" support to techmap
45 - Added "add -mod <modname[s]>"
46 - Added +/mul2dsp.v for decomposing wide multipliers to custom-sized ones
47 - Added "ice40_dsp" for Lattice iCE40 DSP packing
48 - Added "xilinx_dsp" for Xilinx DSP packing
49 - "synth_xilinx" to now infer DSP blocks (-nodsp to disable)
50 - "synth_ecp5" to now infer DSP blocks (-nodsp to disable, experimental)
51 - "synth_ice40 -dsp" to infer DSP blocks
52 - Added latch support to synth_xilinx
53 - Added support for flip-flops with synchronous reset to synth_xilinx
54 - Added support for flip-flops with reset and enable to synth_xilinx
55 - Added "check -mapped"
56 - Added checking of SystemVerilog always block types (always_comb,
57 always_latch and always_ff)
58 - Added support for SystemVerilog wildcard port connections (.*)
59 - Added "xilinx_dffopt" pass
60 - Added "scratchpad" pass
61 - Added "synth_xilinx -dff"
62 - Improved support of $readmem[hb] Memory Content File inclusion
63 - Added "opt_lut_ins" pass
64 - Added "logger" pass
65 - Added "design -delete"
66 - Added "select -unset"
67 - Use YosysHQ/abc instead of upstream berkeley-abc/abc
68 - Added $divfloor and $modfloor cells
69 - Added $adffe, $dffsre, $sdff, $sdffe, $sdffce, $adlatch cells
70 - Added "dfflegalize" pass
71 - Added "_TECHMAP_CELLNAME_" parameter for "techmap" pass
72 - Merged "dffsr2dff", "opt_rmdff", "dff2dffe", "dff2dffs", "peepopt.dffmux" passes into a new "opt_dff" pass
73
74 Yosys 0.8 .. Yosys 0.9
75 ----------------------
76
77 * Various
78 - Many bugfixes and small improvements
79 - Added support for SystemVerilog interfaces and modports
80 - Added "write_edif -attrprop"
81 - Added "opt_lut" pass
82 - Added "gate2lut.v" techmap rule
83 - Added "rename -src"
84 - Added "equiv_opt" pass
85 - Added "flowmap" LUT mapping pass
86 - Added "rename -wire" to rename cells based on the wires they drive
87 - Added "bugpoint" for creating minimised testcases
88 - Added "write_edif -gndvccy"
89 - "write_verilog" to escape Verilog keywords
90 - Fixed sign handling of real constants
91 - "write_verilog" to write initial statement for initial flop state
92 - Added pmgen pattern matcher generator
93 - Fixed opt_rmdff handling of $_DFFSR_???_ and $_DLATCHSR_???_
94 - Added "setundef -params" to replace undefined cell parameters
95 - Renamed "yosys -D" to "yosys -U", added "yosys -D" to set Verilog defines
96 - Fixed handling of defparam when default_nettype is none
97 - Fixed "wreduce" flipflop handling
98 - Fixed FIRRTL to Verilog process instance subfield assignment
99 - Added "write_verilog -siminit"
100 - Several fixes and improvements for mem2reg memories
101 - Fixed handling of task output ports in clocked always blocks
102 - Improved handling of and-with-1 and or-with-0 in "opt_expr"
103 - Added "read_aiger" frontend
104 - Added "mutate" pass
105 - Added "hdlname" attribute
106 - Added "rename -output"
107 - Added "read_ilang -lib"
108 - Improved "proc" full_case detection and handling
109 - Added "whitebox" and "lib_whitebox" attributes
110 - Added "read_verilog -nowb", "flatten -wb" and "wbflip"
111 - Added Python bindings and support for Python plug-ins
112 - Added "pmux2shiftx"
113 - Added log_debug framework for reduced default verbosity
114 - Improved "opt_expr" and "opt_clean" handling of (partially) undriven and/or unused wires
115 - Added "peepopt" peephole optimisation pass using pmgen
116 - Added approximate support for SystemVerilog "var" keyword
117 - Added parsing of "specify" blocks into $specrule and $specify[23]
118 - Added support for attributes on parameters and localparams
119 - Added support for parsing attributes on port connections
120 - Added "wreduce -keepdc"
121 - Added support for optimising $dffe and $_DFFE_* cells in "opt_rmdff"
122 - Added Verilog wand/wor wire type support
123 - Added support for elaboration system tasks
124 - Added "muxcover -mux{4,8,16}=<cost>"
125 - Added "muxcover -dmux=<cost>"
126 - Added "muxcover -nopartial"
127 - Added "muxpack" pass
128 - Added "pmux2shiftx -norange"
129 - Added support for "~" in filename parsing
130 - Added "read_verilog -pwires" feature to turn parameters into wires
131 - Fixed sign extension of unsized constants with 'bx and 'bz MSB
132 - Fixed genvar to be a signed type
133 - Added support for attributes on case rules
134 - Added "upto" and "offset" to JSON frontend and backend
135 - Several liberty file parser improvements
136 - Fixed handling of more complex BRAM patterns
137 - Add "write_aiger -I -O -B"
138
139 * Formal Verification
140 - Added $changed support to read_verilog
141 - Added "read_verilog -noassert -noassume -assert-assumes"
142 - Added btor ops for $mul, $div, $mod and $concat
143 - Added yosys-smtbmc support for btor witnesses
144 - Added "supercover" pass
145 - Fixed $global_clock handling vs autowire
146 - Added $dffsr support to "async2sync"
147 - Added "fmcombine" pass
148 - Added memory init support in "write_btor"
149 - Added "cutpoint" pass
150 - Changed "ne" to "neq" in btor2 output
151 - Added support for SVA "final" keyword
152 - Added "fmcombine -initeq -anyeq"
153 - Added timescale and generated-by header to yosys-smtbmc vcd output
154 - Improved BTOR2 handling of undriven wires
155
156 * Verific support
157 - Enabled Verific flags vhdl_support_variable_slice and veri_elaborate_top_level_modules_having_interface_ports
158 - Improved support for asymmetric memories
159 - Added "verific -chparam"
160 - Fixed "verific -extnets" for more complex situations
161 - Added "read -verific" and "read -noverific"
162 - Added "hierarchy -chparam"
163
164 * New back-ends
165 - Added initial Anlogic support
166 - Added initial SmartFusion2 and IGLOO2 support
167
168 * ECP5 support
169 - Added "synth_ecp5 -nowidelut"
170 - Added BRAM inference support to "synth_ecp5"
171 - Added support for transforming Diamond IO and flipflop primitives
172
173 * iCE40 support
174 - Added "ice40_unlut" pass
175 - Added "synth_ice40 -relut"
176 - Added "synth_ice40 -noabc"
177 - Added "synth_ice40 -dffe_min_ce_use"
178 - Added DSP inference support using pmgen
179 - Added support for initialising BRAM primitives from a file
180 - Added iCE40 Ultra RGB LED driver cells
181
182 * Xilinx support
183 - Use "write_edif -pvector bra" for Xilinx EDIF files
184 - Fixes for VPR place and route support with "synth_xilinx"
185 - Added more cell simulation models
186 - Added "synth_xilinx -family"
187 - Added "stat -tech xilinx" to estimate logic cell usage
188 - Added "synth_xilinx -nocarry"
189 - Added "synth_xilinx -nowidelut"
190 - "synth_xilinx" to now infer hard shift registers (-nosrl to disable)
191 - Added support for mapping RAM32X1D
192
193 Yosys 0.7 .. Yosys 0.8
194 ----------------------
195
196 * Various
197 - Many bugfixes and small improvements
198 - Strip debug symbols from installed binary
199 - Replace -ignore_redef with -[no]overwrite in front-ends
200 - Added write_verilog hex dump support, add -nohex option
201 - Added "write_verilog -decimal"
202 - Added "scc -set_attr"
203 - Added "verilog_defines" command
204 - Remember defines from one read_verilog to next
205 - Added support for hierarchical defparam
206 - Added FIRRTL back-end
207 - Improved ABC default scripts
208 - Added "design -reset-vlog"
209 - Added "yosys -W regex", "yosys -w regex", and "yosys -e regex"
210 - Added Verilog $rtoi and $itor support
211 - Added "check -initdrv"
212 - Added "read_blif -wideports"
213 - Added support for SystemVerilog "++" and "--" operators
214 - Added support for SystemVerilog unique, unique0, and priority case
215 - Added "write_edif" options for edif "flavors"
216 - Added support for resetall compiler directive
217 - Added simple C beck-end (bitwise combinatorical only atm)
218 - Added $_ANDNOT_ and $_ORNOT_ cell types
219 - Added cell library aliases to "abc -g"
220 - Added "setundef -anyseq"
221 - Added "chtype" command
222 - Added "design -import"
223 - Added "write_table" command
224 - Added "read_json" command
225 - Added "sim" command
226 - Added "extract_fa" and "extract_reduce" commands
227 - Added "extract_counter" command
228 - Added "opt_demorgan" command
229 - Added support for $size and $bits SystemVerilog functions
230 - Added "blackbox" command
231 - Added "ltp" command
232 - Added support for editline as replacement for readline
233 - Added warnings for driver-driver conflicts between FFs (and other cells) and constants
234 - Added "yosys -E" for creating Makefile dependencies files
235 - Added "synth -noshare"
236 - Added "memory_nordff"
237 - Added "setundef -undef -expose -anyconst"
238 - Added "expose -input"
239 - Added specify/specparam parser support (simply ignore them)
240 - Added "write_blif -inames -iattr"
241 - Added "hierarchy -simcheck"
242 - Added an option to statically link abc into yosys
243 - Added protobuf back-end
244 - Added BLIF parsing support for .conn and .cname
245 - Added read_verilog error checking for reg/wire/logic misuse
246 - Added "make coverage" and ENABLE_GCOV build option
247
248 * Changes in Yosys APIs
249 - Added ConstEval defaultval feature
250 - Added {get,set}_src_attribute() methods on RTLIL::AttrObject
251 - Added SigSpec::is_fully_ones() and Const::is_fully_ones()
252 - Added log_file_warning() and log_file_error() functions
253
254 * Formal Verification
255 - Added "write_aiger"
256 - Added "yosys-smtbmc --aig"
257 - Added "always <positive_int>" to .smtc format
258 - Added $cover cell type and support for cover properties
259 - Added $fair/$live cell type and support for liveness properties
260 - Added smtbmc support for memory vcd dumping
261 - Added "chformal" command
262 - Added "write_smt2 -stbv" and "write_smt2 -stdt"
263 - Fix equiv_simple, old behavior now available with "equiv_simple -short"
264 - Change to Yices2 as default SMT solver (it is GPL now)
265 - Added "yosys-smtbmc --presat" (now default in SymbiYosys)
266 - Added "yosys-smtbmc --smtc-init --smtc-top --noinit"
267 - Added a brand new "write_btor" command for BTOR2
268 - Added clk2fflogic memory support and other improvements
269 - Added "async memory write" support to write_smt2
270 - Simulate clock toggling in yosys-smtbmc VCD output
271 - Added $allseq/$allconst cells for EA-solving
272 - Make -nordff the default in "prep"
273 - Added (* gclk *) attribute
274 - Added "async2sync" pass for single-clock designs with async resets
275
276 * Verific support
277 - Many improvements in Verific front-end
278 - Added proper handling of concurent SVA properties
279 - Map "const" and "rand const" to $anyseq/$anyconst
280 - Added "verific -import -flatten" and "verific -import -extnets"
281 - Added "verific -vlog-incdir -vlog-define -vlog-libdir"
282 - Remove PSL support (because PSL has been removed in upstream Verific)
283 - Improve integration with "hierarchy" command design elaboration
284 - Added YOSYS_NOVERIFIC for running non-verific test cases with verific bin
285 - Added simpilied "read" command that automatically uses verific if available
286 - Added "verific -set-<severity> <msg_id>.."
287 - Added "verific -work <libname>"
288
289 * New back-ends
290 - Added initial Coolrunner-II support
291 - Added initial eASIC support
292 - Added initial ECP5 support
293
294 * GreenPAK Support
295 - Added support for GP_DLATCH, GP_SPI, GP_DCMx, GP_COUNTx, etc.
296
297 * iCE40 Support
298 - Add "synth_ice40 -vpr"
299 - Add "synth_ice40 -nodffe"
300 - Add "synth_ice40 -json"
301 - Add Support for UltraPlus cells
302
303 * MAX10 and Cyclone IV Support
304 - Added initial version of metacommand "synth_intel".
305 - Improved write_verilog command to produce VQM netlist for Quartus Prime.
306 - Added support for MAX10 FPGA family synthesis.
307 - Added support for Cyclone IV family synthesis.
308 - Added example of implementation for DE2i-150 board.
309 - Added example of implementation for MAX10 development kit.
310 - Added LFSR example from Asic World.
311 - Added "dffinit -highlow" for mapping to Intel primitives
312
313
314 Yosys 0.6 .. Yosys 0.7
315 ----------------------
316
317 * Various
318 - Added "yosys -D" feature
319 - Added support for installed plugins in $(DATDIR)/plugins/
320 - Renamed opt_const to opt_expr
321 - Renamed opt_share to opt_merge
322 - Added "prep -flatten" and "synth -flatten"
323 - Added "prep -auto-top" and "synth -auto-top"
324 - Using "mfs" and "lutpack" in ABC lut mapping
325 - Support for abstract modules in chparam
326 - Cleanup abstract modules at end of "hierarchy -top"
327 - Added tristate buffer support to iopadmap
328 - Added opt_expr support for div/mod by power-of-two
329 - Added "select -assert-min <N> -assert-max <N>"
330 - Added "attrmvcp" pass
331 - Added "attrmap" command
332 - Added "tee +INT -INT"
333 - Added "zinit" pass
334 - Added "setparam -type"
335 - Added "shregmap" pass
336 - Added "setundef -init"
337 - Added "nlutmap -assert"
338 - Added $sop cell type and "abc -sop -I <num> -P <num>"
339 - Added "dc2" to default ABC scripts
340 - Added "deminout"
341 - Added "insbuf" command
342 - Added "prep -nomem"
343 - Added "opt_rmdff -keepdc"
344 - Added "prep -nokeepdc"
345 - Added initial version of "synth_gowin"
346 - Added "fsm_expand -full"
347 - Added support for fsm_encoding="user"
348 - Many improvements in GreenPAK4 support
349 - Added black box modules for all Xilinx 7-series lib cells
350 - Added synth_ice40 support for latches via logic loops
351 - Fixed ice40_opt lut unmapping, added "ice40_opt -unlut"
352
353 * Build System
354 - Added ABCEXTERNAL and ABCURL make variables
355 - Added BINDIR, LIBDIR, and DATDIR make variables
356 - Added PKG_CONFIG make variable
357 - Added SEED make variable (for "make test")
358 - Added YOSYS_VER_STR make variable
359 - Updated min GCC requirement to GCC 4.8
360 - Updated required Bison version to Bison 3.x
361
362 * Internal APIs
363 - Added ast.h to exported headers
364 - Added ScriptPass helper class for script-like passes
365 - Added CellEdgesDatabase API
366
367 * Front-ends and Back-ends
368 - Added filename glob support to all front-ends
369 - Added avail (black-box) module params to ilang format
370 - Added $display %m support
371 - Added support for $stop Verilog system task
372 - Added support for SystemVerilog packages
373 - Fixed procedural assignments to non-unique lvalues, e.g. {y,y} = {a,b}
374 - Added support for "active high" and "active low" latches in read_blif and write_blif
375 - Use init value "2" for all uninitialized FFs in BLIF back-end
376 - Added "read_blif -sop"
377 - Added "write_blif -noalias"
378 - Added various write_blif options for VTR support
379 - write_json: also write module attributes.
380 - Added "write_verilog -nodec -nostr -defparam"
381 - Added "read_verilog -norestrict -assume-asserts"
382 - Added support for bus interfaces to "read_liberty -lib"
383 - Added liberty parser support for types within cell decls
384 - Added "write_verilog -renameprefix -v"
385 - Added "write_edif -nogndvcc"
386
387 * Formal Verification
388 - Support for hierarchical designs in smt2 back-end
389 - Yosys-smtbmc: Support for hierarchical VCD dumping
390 - Added $initstate cell type and vlog function
391 - Added $anyconst and $anyseq cell types and vlog functions
392 - Added printing of code loc of failed asserts to yosys-smtbmc
393 - Added memory_memx pass, "memory -memx", and "prep -memx"
394 - Added "proc_mux -ifx"
395 - Added "yosys-smtbmc -g"
396 - Deprecated "write_smt2 -regs" (by default on now)
397 - Made "write_smt2 -bv -mem" default, added "write_smt2 -nobv -nomem"
398 - Added support for memories to smtio.py
399 - Added "yosys-smtbmc --dump-vlogtb"
400 - Added "yosys-smtbmc --smtc --dump-smtc"
401 - Added "yosys-smtbmc --dump-all"
402 - Added assertpmux command
403 - Added "yosys-smtbmc --unroll"
404 - Added $past, $stable, $rose, $fell SVA functions
405 - Added "yosys-smtbmc --noinfo and --dummy"
406 - Added "yosys-smtbmc --noincr"
407 - Added "yosys-smtbmc --cex <filename>"
408 - Added $ff and $_FF_ cell types
409 - Added $global_clock verilog syntax support for creating $ff cells
410 - Added clk2fflogic
411
412
413 Yosys 0.5 .. Yosys 0.6
414 ----------------------
415
416 * Various
417 - Added Contributor Covenant Code of Conduct
418 - Various improvements in dict<> and pool<>
419 - Added hashlib::mfp and refactored SigMap
420 - Improved support for reals as module parameters
421 - Various improvements in SMT2 back-end
422 - Added "keep_hierarchy" attribute
423 - Verilog front-end: define `BLACKBOX in -lib mode
424 - Added API for converting internal cells to AIGs
425 - Added ENABLE_LIBYOSYS Makefile option
426 - Removed "techmap -share_map" (use "-map +/filename" instead)
427 - Switched all Python scripts to Python 3
428 - Added support for $display()/$write() and $finish() to Verilog front-end
429 - Added "yosys-smtbmc" formal verification flow
430 - Added options for clang sanitizers to Makefile
431
432 * New commands and options
433 - Added "scc -expect <N> -nofeedback"
434 - Added "proc_dlatch"
435 - Added "check"
436 - Added "select %xe %cie %coe %M %C %R"
437 - Added "sat -dump_json" (WaveJSON format)
438 - Added "sat -tempinduct-baseonly -tempinduct-inductonly"
439 - Added "sat -stepsize" and "sat -tempinduct-step"
440 - Added "sat -show-regs -show-public -show-all"
441 - Added "write_json" (Native Yosys JSON format)
442 - Added "write_blif -attr"
443 - Added "dffinit"
444 - Added "chparam"
445 - Added "muxcover"
446 - Added "pmuxtree"
447 - Added memory_bram "make_outreg" feature
448 - Added "splice -wires"
449 - Added "dff2dffe -direct-match"
450 - Added simplemap $lut support
451 - Added "read_blif"
452 - Added "opt_share -share_all"
453 - Added "aigmap"
454 - Added "write_smt2 -mem -regs -wires"
455 - Added "memory -nordff"
456 - Added "write_smv"
457 - Added "synth -nordff -noalumacc"
458 - Added "rename -top new_name"
459 - Added "opt_const -clkinv"
460 - Added "synth -nofsm"
461 - Added "miter -assert"
462 - Added "read_verilog -noautowire"
463 - Added "read_verilog -nodpi"
464 - Added "tribuf"
465 - Added "lut2mux"
466 - Added "nlutmap"
467 - Added "qwp"
468 - Added "test_cell -noeval"
469 - Added "edgetypes"
470 - Added "equiv_struct"
471 - Added "equiv_purge"
472 - Added "equiv_mark"
473 - Added "equiv_add -try -cell"
474 - Added "singleton"
475 - Added "abc -g -luts"
476 - Added "torder"
477 - Added "write_blif -cname"
478 - Added "submod -copy"
479 - Added "dffsr2dff"
480 - Added "stat -liberty"
481
482 * Synthesis metacommands
483 - Various improvements in synth_xilinx
484 - Added synth_ice40 and synth_greenpak4
485 - Added "prep" metacommand for "synthesis lite"
486
487 * Cell library changes
488 - Added cell types to "help" system
489 - Added $meminit cell type
490 - Added $assume cell type
491 - Added $_MUX4_, $_MUX8_, and $_MUX16_ cells
492 - Added $tribuf and $_TBUF_ cell types
493 - Added read-enable to memory model
494
495 * YosysJS
496 - Various improvements in emscripten build
497 - Added alternative webworker-based JS API
498 - Added a few example applications
499
500
501 Yosys 0.4 .. Yosys 0.5
502 ----------------------
503
504 * API changes
505 - Added log_warning()
506 - Added eval_select_args() and eval_select_op()
507 - Added cell->known(), cell->input(portname), cell->output(portname)
508 - Skip blackbox modules in design->selected_modules()
509 - Replaced std::map<> and std::set<> with dict<> and pool<>
510 - New SigSpec::extend() is what used to be SigSpec::extend_u0()
511 - Added YS_OVERRIDE, YS_FINAL, YS_ATTRIBUTE, YS_NORETURN
512
513 * Cell library changes
514 - Added flip-flops with enable ($dffe etc.)
515 - Added $equiv cells for equivalence checking framework
516
517 * Various
518 - Updated ABC to hg rev 61ad5f908c03
519 - Added clock domain partitioning to ABC pass
520 - Improved plugin building (see "yosys-config --build")
521 - Added ENABLE_NDEBUG Makefile flag for high-performance builds
522 - Added "yosys -d", "yosys -L" and other driver improvements
523 - Added support for multi-bit (array) cell ports to "write_edif"
524 - Now printing most output to stdout, not stderr
525 - Added "onehot" attribute (set by "fsm_map")
526 - Various performance improvements
527 - Vastly improved Xilinx flow
528 - Added "make unsintall"
529
530 * Equivalence checking
531 - Added equivalence checking commands:
532 equiv_make equiv_simple equiv_status
533 equiv_induct equiv_miter
534 equiv_add equiv_remove
535
536 * Block RAM support:
537 - Added "memory_bram" command
538 - Added BRAM support to Xilinx flow
539
540 * Other New Commands and Options
541 - Added "dff2dffe"
542 - Added "fsm -encfile"
543 - Added "dfflibmap -prepare"
544 - Added "write_blid -unbuf -undef -blackbox"
545 - Added "write_smt2" for writing SMT-LIBv2 files
546 - Added "test_cell -w -muxdiv"
547 - Added "select -read"
548
549
550 Yosys 0.3.0 .. Yosys 0.4
551 ------------------------
552
553 * Platform Support
554 - Added support for mxe-based cross-builds for win32
555 - Added sourcecode-export as VisualStudio project
556 - Added experimental EMCC (JavaScript) support
557
558 * Verilog Frontend
559 - Added -sv option for SystemVerilog (and automatic *.sv file support)
560 - Added support for real-valued constants and constant expressions
561 - Added support for non-standard "via_celltype" attribute on task/func
562 - Added support for non-standard "module mod_name(...);" syntax
563 - Added support for non-standard """ macro bodies
564 - Added support for array with more than one dimension
565 - Added support for $readmemh and $readmemb
566 - Added support for DPI functions
567
568 * Changes in internal cell library
569 - Added $shift and $shiftx cell types
570 - Added $alu, $lcu, $fa and $macc cell types
571 - Removed $bu0 and $safe_pmux cell types
572 - $mem/$memwr WR_EN input is now a per-data-bit enable signal
573 - Added $_NAND_ $_NOR_ $_XNOR_ $_AOI3_ $_OAI3_ $_AOI4_ $_OAI4_
574 - Renamed ports of $lut cells (from I->O to A->Y)
575 - Renamed $_INV_ to $_NOT_
576
577 * Changes for simple synthesis flows
578 - There is now a "synth" command with a recommended default script
579 - Many improvements in synthesis of arithmetic functions to gates
580 - Multipliers and adders with many operands are using carry-save adder trees
581 - Remaining adders are now implemented using Brent-Kung carry look-ahead adders
582 - Various new high-level optimizations on RTL netlist
583 - Various improvements in FSM optimization
584 - Updated ABC to hg 5b5af75f1dda (from 2014-11-07)
585
586 * Changes in internal APIs and RTLIL
587 - Added log_id() and log_cell() helper functions
588 - Added function-like cell creation helpers
589 - Added GetSize() function (like .size() but with int)
590 - Major refactoring of RTLIL::Module and related classes
591 - Major refactoring of RTLIL::SigSpec and related classes
592 - Now RTLIL::IdString is essentially an int
593 - Added macros for code coverage counters
594 - Added some Makefile magic for pretty make logs
595 - Added "kernel/yosys.h" with all the core definitions
596 - Changed a lot of code from FILE* to c++ streams
597 - Added RTLIL::Monitor API and "trace" command
598 - Added "Yosys" C++ namespace
599
600 * Changes relevant to SAT solving
601 - Added ezSAT::keep_cnf() and ezSAT::non_incremental()
602 - Added native ezSAT support for vector shift ops
603 - Updated MiniSAT to git 37dc6c67e2 (from 2013-09-25)
604
605 * New commands (or large improvements to commands)
606 - Added "synth" command with default script
607 - Added "share" (finally some real resource sharing)
608 - Added "memory_share" (reduce number of ports on memories)
609 - Added "wreduce" and "alumacc" commands
610 - Added "opt -keepdc -fine -full -fast"
611 - Added some "test_*" commands
612
613 * Various other changes
614 - Added %D and %c select operators
615 - Added support for labels in yosys scripts
616 - Added support for here-documents in yosys scripts
617 - Support "+/" prefix for files from proc_share_dir
618 - Added "autoidx" statement to ilang language
619 - Switched from "yosys-svgviewer" to "xdot"
620 - Renamed "stdcells.v" to "techmap.v"
621 - Various bug fixes and small improvements
622 - Improved welcome and bye messages
623
624
625 Yosys 0.2.0 .. Yosys 0.3.0
626 --------------------------
627
628 * Driver program and overall behavior:
629 - Added "design -push" and "design -pop"
630 - Added "tee" command for redirecting log output
631
632 * Changes in the internal cell library:
633 - Added $dlatchsr and $_DLATCHSR_???_ cell types
634
635 * Improvements in Verilog frontend:
636 - Improved support for const functions (case, always, repeat)
637 - The generate..endgenerate keywords are now optional
638 - Added support for arrays of module instances
639 - Added support for "`default_nettype" directive
640 - Added support for "`line" directive
641
642 * Other front- and back-ends:
643 - Various changes to "write_blif" options
644 - Various improvements in EDIF backend
645 - Added "vhdl2verilog" pseudo-front-end
646 - Added "verific" pseudo-front-end
647
648 * Improvements in technology mapping:
649 - Added support for recursive techmap
650 - Added CONSTMSK and CONSTVAL features to techmap
651 - Added _TECHMAP_CONNMAP_*_ feature to techmap
652 - Added _TECHMAP_REPLACE_ feature to techmap
653 - Added "connwrappers" command for wrap-extract-unwrap method
654 - Added "extract -map %<design_name>" feature
655 - Added "extract -ignore_param ..." and "extract -ignore_parameters"
656 - Added "techmap -max_iter" option
657
658 * Improvements to "eval" and "sat" framework:
659 - Now include a copy of Minisat (with build fixes applied)
660 - Switched to Minisat::SimpSolver as SAT back-end
661 - Added "sat -dump_vcd" feature
662 - Added "sat -dump_cnf" feature
663 - Added "sat -initsteps <N>" feature
664 - Added "freduce -stop <N>" feature
665 - Added "freduce -dump <prefix>" feature
666
667 * Integration with ABC:
668 - Updated ABC rev to 7600ffb9340c
669
670 * Improvements in the internal APIs:
671 - Added RTLIL::Module::add... helper methods
672 - Various build fixes for OSX (Darwin) and OpenBSD
673
674
675 Yosys 0.1.0 .. Yosys 0.2.0
676 --------------------------
677
678 * Changes to the driver program:
679 - Added "yosys -h" and "yosys -H"
680 - Added support for backslash line continuation in scripts
681 - Added support for #-comments in same line as command
682 - Added "echo" and "log" commands
683
684 * Improvements in Verilog frontend:
685 - Added support for local registers in named blocks
686 - Added support for "case" in "generate" blocks
687 - Added support for $clog2 system function
688 - Added support for basic SystemVerilog assert statements
689 - Added preprocessor support for macro arguments
690 - Added preprocessor support for `elsif statement
691 - Added "verilog_defaults" command
692 - Added read_verilog -icells option
693 - Added support for constant sizes from parameters
694 - Added "read_verilog -setattr"
695 - Added support for function returning 'integer'
696 - Added limited support for function calls in parameter values
697 - Added "read_verilog -defer" to suppress evaluation of modules with default parameters
698
699 * Other front- and back-ends:
700 - Added BTOR backend
701 - Added Liberty frontend
702
703 * Improvements in technology mapping:
704 - The "dfflibmap" command now strongly prefers solutions with
705 no inverters in clock paths
706 - The "dfflibmap" command now prefers cells with smaller area
707 - Added support for multiple -map options to techmap
708 - Added "dfflibmap" support for //-comments in liberty files
709 - Added "memory_unpack" command to revert "memory_collect"
710 - Added standard techmap rule "techmap -share_map pmux2mux.v"
711 - Added "iopadmap -bits"
712 - Added "setundef" command
713 - Added "hilomap" command
714
715 * Changes in the internal cell library:
716 - Major rewrite of simlib.v for better compatibility with other tools
717 - Added PRIORITY parameter to $memwr cells
718 - Added TRANSPARENT parameter to $memrd cells
719 - Added RD_TRANSPARENT parameter to $mem cells
720 - Added $bu0 cell (always 0-extend, even undef MSB)
721 - Added $assert cell type
722 - Added $slice and $concat cell types
723
724 * Integration with ABC:
725 - Updated ABC to hg rev 2058c8ccea68
726 - Tighter integration of ABC build with Yosys build. The make
727 targets 'make abc' and 'make install-abc' are now obsolete.
728 - Added support for passing FFs from one clock domain through ABC
729 - Now always use BLIF as exchange format with ABC
730 - Added support for "abc -script +<command_sequence>"
731 - Improved standard ABC recipe
732 - Added support for "keep" attribute to abc command
733 - Added "abc -dff / -clk / -keepff" options
734
735 * Improvements to "eval" and "sat" framework:
736 - Added support for "0" and "~0" in right-hand side -set expressions
737 - Added "eval -set-undef" and "eval -table"
738 - Added "sat -set-init" and "sat -set-init-*" for sequential problems
739 - Added undef support to SAT solver, incl. various new "sat" options
740 - Added correct support for === and !== for "eval" and "sat"
741 - Added "sat -tempinduct" (default -seq is now non-induction sequential)
742 - Added "sat -prove-asserts"
743 - Complete rewrite of the 'freduce' command
744 - Added "miter" command
745 - Added "sat -show-inputs" and "sat -show-outputs"
746 - Added "sat -ignore_unknown_cells" (now produce an error by default)
747 - Added "sat -falsify"
748 - Now "sat -verify" and "sat -falsify" can also be used without "-prove"
749 - Added "expose" command
750 - Added support for @<sel_name> to sat and eval signal expressions
751
752 * Changes in the 'make test' framework and auxiliary test tools:
753 - Added autotest.sh -p and -f options
754 - Replaced autotest.sh ISIM support with XSIM support
755 - Added test cases for SAT framework
756
757 * Added "abbreviated IDs":
758 - Now $<something>$foo can be abbreviated as $foo.
759 - Usually this last part is a unique id (from RTLIL::autoidx)
760 - This abbreviated IDs are now also used in "show" output
761
762 * Other changes to selection framework:
763 - Now */ is optional in */<mode>:<arg> expressions
764 - Added "select -assert-none" and "select -assert-any"
765 - Added support for matching modules by attribute (A:<expr>)
766 - Added "select -none"
767 - Added support for r:<expr> pattern for matching cell parameters
768 - Added support for !=, <, <=, >=, > for attribute and parameter matching
769 - Added support for %s for selecting sub-modules
770 - Added support for %m for expanding selections to whole modules
771 - Added support for i:*, o:* and x:* pattern for selecting module ports
772 - Added support for s:<expr> pattern for matching wire width
773 - Added support for %a operation to select wire aliases
774
775 * Various other changes to commands and options:
776 - The "ls" command now supports wildcards
777 - Added "show -pause" and "show -format dot"
778 - Added "show -color" support for cells
779 - Added "show -label" and "show -notitle"
780 - Added "dump -m" and "dump -n"
781 - Added "history" command
782 - Added "rename -hide"
783 - Added "connect" command
784 - Added "splitnets -driver"
785 - Added "opt_const -mux_undef"
786 - Added "opt_const -mux_bool"
787 - Added "opt_const -undriven"
788 - Added "opt -mux_undef -mux_bool -undriven -purge"
789 - Added "hierarchy -libdir"
790 - Added "hierarchy -purge_lib" (by default now do not remove lib cells)
791 - Added "delete" command
792 - Added "dump -append"
793 - Added "setattr" and "setparam" commands
794 - Added "design -stash/-copy-from/-copy-to"
795 - Added "copy" command
796 - Added "splice" command
797