Updates for CHANGELOG (#2997)
[yosys.git] / CHANGELOG
1
2 List of major changes and improvements between releases
3 =======================================================
4
5
6 Yosys 0.9 .. Yosys 0.9-dev
7 --------------------------
8
9 * Various
10 - Added automatic gzip decompression for frontends
11 - Added $_NMUX_ cell type
12 - Added automatic gzip compression (based on filename extension) for backends
13 - Improve attribute and parameter encoding in JSON to avoid ambiguities between
14 bit vectors and strings containing [01xz]*
15 - Improvements in pmgen: subpattern and recursive matches
16 - Support explicit FIRRTL properties
17 - Improvements in pmgen: slices, choices, define, generate
18 - Added "_TECHMAP_WIREINIT_*_" parameter and "_TECHMAP_REMOVEINIT_*_" wire for "techmap" pass
19 - Added +/mul2dsp.v for decomposing wide multipliers to custom-sized ones
20 - Added new frontend: rpc
21 - Added --version and -version as aliases for -V
22 - Improve yosys-smtbmc "solver not found" handling
23 - Improved support of $readmem[hb] Memory Content File inclusion
24 - Added CXXRTL backend
25 - Use YosysHQ/abc instead of upstream berkeley-abc/abc
26 - Added WASI platform support.
27 - Added extmodule support to firrtl backend
28 - Added $divfloor and $modfloor cells
29 - Added $adffe, $dffsre, $sdff, $sdffe, $sdffce, $adlatch cells
30 - Added "_TECHMAP_CELLNAME_" parameter for "techmap" pass
31 - Added firrtl backend support for generic parameters in blackbox components
32 - Added $meminit_v2 cells (with support for write mask)
33 - Added $mem_v2, $memrd_v2, $memwr_v2, with the following features:
34 - write priority masks, per write/write port pair
35 - transparency and undefined collision behavior masks, per read/write port pair
36 - read port reset and initialization
37 - wide ports (accessing a naturally aligned power-of-two number of memory cells)
38
39 * New commands and options
40 - Added "write_xaiger" backend
41 - Added "read_xaiger"
42 - Added "abc9" pass for timing-aware techmapping (experimental, FPGA only)
43 - Added "synth -abc9" (experimental)
44 - Added "script -scriptwire"
45 - Added "clkbufmap" pass
46 - Added "extractinv" pass and "invertible_pin" attribute
47 - Added "proc_clean -quiet"
48 - Added "proc_prune" pass
49 - Added "stat -tech cmos"
50 - Added "opt_share" pass, run as part of "opt -full"
51 - Added "-match-init" option to "dff2dffs" pass
52 - Added "equiv_opt -multiclock"
53 - Added "techmap_autopurge" support to techmap
54 - Added "add -mod <modname[s]>"
55 - Added "paramap" pass
56 - Added "portlist" command
57 - Added "check -mapped"
58 - Added "check -allow-tbuf"
59 - Added "autoname" pass
60 - Added "write_verilog -extmem"
61 - Added "opt_mem" pass
62 - Added "scratchpad" pass
63 - Added "fminit" pass
64 - Added "opt_lut_ins" pass
65 - Added "logger" pass
66 - Added "show -nobg"
67 - Added "exec" command
68 - Added "design -delete"
69 - Added "design -push-copy"
70 - Added "qbfsat" command
71 - Added "select -unset"
72 - Added "dfflegalize" pass
73 - Removed "opt_expr -clkinv" option, made it the default
74 - Added "proc -nomux
75 - Merged "dffsr2dff", "opt_rmdff", "dff2dffe", "dff2dffs", "peepopt.dffmux" passes into a new "opt_dff" pass
76
77 * SystemVerilog
78 - Added checking of always block types (always_comb, always_latch and always_ff)
79 - Added support for wildcard port connections (.*)
80 - Added support for enum typedefs
81 - Added support for structs and packed unions.
82 - Allow constant function calls in for loops and generate if and case
83 - Added support for static cast
84 - Added support for logic typed parameters
85 - Fixed generate scoping issues
86 - Added support for real-valued parameters
87 - Allow localparams in constant functions
88 - Module name scope support
89 - Support recursive functions using ternary expressions
90 - Extended support for integer types
91 - Support for parameters without default values
92 - Allow globals in one file to depend on globals in another
93 - Added support for: *=, /=, %=, <<=, >>=, <<<=, >>>=
94 - Added support for parsing the 'bind' construct
95 - support declaration in procedural for initialization
96 - support declaration in generate for initialization
97
98 * Verific support
99 - Added "verific -L"
100 - Add Verific SVA support for "always" properties
101 - Add Verific support for SVA nexttime properties
102 - Improve handling of verific primitives in "verific -import -V" mode
103 - Import attributes for wires
104 - Support VHDL enums
105 - Added support for command files
106
107 * New back-ends
108 - Added initial EFINIX support
109 - Added Intel ALM: alternative synthesis for Intel FPGAs
110 - Added initial Nexus support
111 - Added initial MachXO2 support
112 - Added initial QuickLogic PolarPro 3 support
113
114 * ECP5 support
115 - Renamed labels/options in synth_ecp5 (e.g. dram -> map_lutram; -nodram -> -nolutram)
116 - Added "synth_ecp5 -abc9" (experimental)
117 - Added "synth_ecp5 -nowidelut"
118 - "synth_ecp5" to now infer DSP blocks (-nodsp to disable, experimental)
119
120 * iCE40 support
121 - Added "synth_ice40 -abc9" (experimental)
122 - Added "synth_ice40 -device"
123 - Renamed labels/options in synth_ice40 (e.g. dram -> map_lutram; -nodram -> -nolutram)
124 - Added "ice40_wrapcarry" to encapsulate SB_LUT+SB_CARRY pairs for techmapping
125 - Removed "ice40_unlut"
126 - Added "ice40_dsp" for Lattice iCE40 DSP packing
127 - "synth_ice40 -dsp" to infer DSP blocks
128
129 * Xilinx support
130 - Added "synth_xilinx -abc9" (experimental)
131 - Added "synth_xilinx -nocarry"
132 - Added "synth_xilinx -nowidelut"
133 - "synth_xilinx" to now infer wide multiplexers (-widemux <min> to enable)
134 - Renamed labels/options in synth_xilinx (e.g. dram -> map_lutram; -nodram -> -nolutram)
135 - Added "synth_xilinx -family xc6s" for Spartan 6 support (experimental)
136 - Added "synth_xilinx -ise" (experimental)
137 - Added "synth_xilinx -iopad"
138 - "synth_xilinx" now automatically inserts clock buffers (add -noclkbuf to disable)
139 - Added "xilinx_srl" for Xilinx shift register extraction
140 - Removed "shregmap -tech xilinx" (superseded by "xilinx_srl")
141 - Added "xilinx_dsp" for Xilinx DSP packing
142 - "synth_xilinx" to now infer DSP blocks (-nodsp to disable)
143 - Added latch support to synth_xilinx
144 - Added support for flip-flops with synchronous reset to synth_xilinx
145 - Added support for flip-flops with reset and enable to synth_xilinx
146 - Added "xilinx_dffopt" pass
147 - Added "synth_xilinx -dff"
148
149 * Intel support
150 - Renamed labels in synth_intel (e.g. bram -> map_bram)
151 - synth_intel: cyclone10 -> cyclone10lp, a10gx -> arria10gx
152 - Added "intel_alm -abc9" (experimental)
153
154 * CoolRunner2 support
155 - Separate and improve buffer cell insertion pass
156 - Use extract_counter to optimize counters
157
158 Yosys 0.8 .. Yosys 0.9
159 ----------------------
160
161 * Various
162 - Many bugfixes and small improvements
163 - Added support for SystemVerilog interfaces and modports
164 - Added "write_edif -attrprop"
165 - Added "opt_lut" pass
166 - Added "gate2lut.v" techmap rule
167 - Added "rename -src"
168 - Added "equiv_opt" pass
169 - Added "flowmap" LUT mapping pass
170 - Added "rename -wire" to rename cells based on the wires they drive
171 - Added "bugpoint" for creating minimised testcases
172 - Added "write_edif -gndvccy"
173 - "write_verilog" to escape Verilog keywords
174 - Fixed sign handling of real constants
175 - "write_verilog" to write initial statement for initial flop state
176 - Added pmgen pattern matcher generator
177 - Fixed opt_rmdff handling of $_DFFSR_???_ and $_DLATCHSR_???_
178 - Added "setundef -params" to replace undefined cell parameters
179 - Renamed "yosys -D" to "yosys -U", added "yosys -D" to set Verilog defines
180 - Fixed handling of defparam when default_nettype is none
181 - Fixed "wreduce" flipflop handling
182 - Fixed FIRRTL to Verilog process instance subfield assignment
183 - Added "write_verilog -siminit"
184 - Several fixes and improvements for mem2reg memories
185 - Fixed handling of task output ports in clocked always blocks
186 - Improved handling of and-with-1 and or-with-0 in "opt_expr"
187 - Added "read_aiger" frontend
188 - Added "mutate" pass
189 - Added "hdlname" attribute
190 - Added "rename -output"
191 - Added "read_ilang -lib"
192 - Improved "proc" full_case detection and handling
193 - Added "whitebox" and "lib_whitebox" attributes
194 - Added "read_verilog -nowb", "flatten -wb" and "wbflip"
195 - Added Python bindings and support for Python plug-ins
196 - Added "pmux2shiftx"
197 - Added log_debug framework for reduced default verbosity
198 - Improved "opt_expr" and "opt_clean" handling of (partially) undriven and/or unused wires
199 - Added "peepopt" peephole optimisation pass using pmgen
200 - Added approximate support for SystemVerilog "var" keyword
201 - Added parsing of "specify" blocks into $specrule and $specify[23]
202 - Added support for attributes on parameters and localparams
203 - Added support for parsing attributes on port connections
204 - Added "wreduce -keepdc"
205 - Added support for optimising $dffe and $_DFFE_* cells in "opt_rmdff"
206 - Added Verilog wand/wor wire type support
207 - Added support for elaboration system tasks
208 - Added "muxcover -mux{4,8,16}=<cost>"
209 - Added "muxcover -dmux=<cost>"
210 - Added "muxcover -nopartial"
211 - Added "muxpack" pass
212 - Added "pmux2shiftx -norange"
213 - Added support for "~" in filename parsing
214 - Added "read_verilog -pwires" feature to turn parameters into wires
215 - Fixed sign extension of unsized constants with 'bx and 'bz MSB
216 - Fixed genvar to be a signed type
217 - Added support for attributes on case rules
218 - Added "upto" and "offset" to JSON frontend and backend
219 - Several liberty file parser improvements
220 - Fixed handling of more complex BRAM patterns
221 - Add "write_aiger -I -O -B"
222
223 * Formal Verification
224 - Added $changed support to read_verilog
225 - Added "read_verilog -noassert -noassume -assert-assumes"
226 - Added btor ops for $mul, $div, $mod and $concat
227 - Added yosys-smtbmc support for btor witnesses
228 - Added "supercover" pass
229 - Fixed $global_clock handling vs autowire
230 - Added $dffsr support to "async2sync"
231 - Added "fmcombine" pass
232 - Added memory init support in "write_btor"
233 - Added "cutpoint" pass
234 - Changed "ne" to "neq" in btor2 output
235 - Added support for SVA "final" keyword
236 - Added "fmcombine -initeq -anyeq"
237 - Added timescale and generated-by header to yosys-smtbmc vcd output
238 - Improved BTOR2 handling of undriven wires
239
240 * Verific support
241 - Enabled Verific flags vhdl_support_variable_slice and veri_elaborate_top_level_modules_having_interface_ports
242 - Improved support for asymmetric memories
243 - Added "verific -chparam"
244 - Fixed "verific -extnets" for more complex situations
245 - Added "read -verific" and "read -noverific"
246 - Added "hierarchy -chparam"
247
248 * New back-ends
249 - Added initial Anlogic support
250 - Added initial SmartFusion2 and IGLOO2 support
251
252 * ECP5 support
253 - Added "synth_ecp5 -nowidelut"
254 - Added BRAM inference support to "synth_ecp5"
255 - Added support for transforming Diamond IO and flipflop primitives
256
257 * iCE40 support
258 - Added "ice40_unlut" pass
259 - Added "synth_ice40 -relut"
260 - Added "synth_ice40 -noabc"
261 - Added "synth_ice40 -dffe_min_ce_use"
262 - Added DSP inference support using pmgen
263 - Added support for initialising BRAM primitives from a file
264 - Added iCE40 Ultra RGB LED driver cells
265
266 * Xilinx support
267 - Use "write_edif -pvector bra" for Xilinx EDIF files
268 - Fixes for VPR place and route support with "synth_xilinx"
269 - Added more cell simulation models
270 - Added "synth_xilinx -family"
271 - Added "stat -tech xilinx" to estimate logic cell usage
272 - Added "synth_xilinx -nocarry"
273 - Added "synth_xilinx -nowidelut"
274 - "synth_xilinx" to now infer hard shift registers (-nosrl to disable)
275 - Added support for mapping RAM32X1D
276
277 Yosys 0.7 .. Yosys 0.8
278 ----------------------
279
280 * Various
281 - Many bugfixes and small improvements
282 - Strip debug symbols from installed binary
283 - Replace -ignore_redef with -[no]overwrite in front-ends
284 - Added write_verilog hex dump support, add -nohex option
285 - Added "write_verilog -decimal"
286 - Added "scc -set_attr"
287 - Added "verilog_defines" command
288 - Remember defines from one read_verilog to next
289 - Added support for hierarchical defparam
290 - Added FIRRTL back-end
291 - Improved ABC default scripts
292 - Added "design -reset-vlog"
293 - Added "yosys -W regex", "yosys -w regex", and "yosys -e regex"
294 - Added Verilog $rtoi and $itor support
295 - Added "check -initdrv"
296 - Added "read_blif -wideports"
297 - Added support for SystemVerilog "++" and "--" operators
298 - Added support for SystemVerilog unique, unique0, and priority case
299 - Added "write_edif" options for edif "flavors"
300 - Added support for resetall compiler directive
301 - Added simple C beck-end (bitwise combinatorical only atm)
302 - Added $_ANDNOT_ and $_ORNOT_ cell types
303 - Added cell library aliases to "abc -g"
304 - Added "setundef -anyseq"
305 - Added "chtype" command
306 - Added "design -import"
307 - Added "write_table" command
308 - Added "read_json" command
309 - Added "sim" command
310 - Added "extract_fa" and "extract_reduce" commands
311 - Added "extract_counter" command
312 - Added "opt_demorgan" command
313 - Added support for $size and $bits SystemVerilog functions
314 - Added "blackbox" command
315 - Added "ltp" command
316 - Added support for editline as replacement for readline
317 - Added warnings for driver-driver conflicts between FFs (and other cells) and constants
318 - Added "yosys -E" for creating Makefile dependencies files
319 - Added "synth -noshare"
320 - Added "memory_nordff"
321 - Added "setundef -undef -expose -anyconst"
322 - Added "expose -input"
323 - Added specify/specparam parser support (simply ignore them)
324 - Added "write_blif -inames -iattr"
325 - Added "hierarchy -simcheck"
326 - Added an option to statically link abc into yosys
327 - Added protobuf back-end
328 - Added BLIF parsing support for .conn and .cname
329 - Added read_verilog error checking for reg/wire/logic misuse
330 - Added "make coverage" and ENABLE_GCOV build option
331
332 * Changes in Yosys APIs
333 - Added ConstEval defaultval feature
334 - Added {get,set}_src_attribute() methods on RTLIL::AttrObject
335 - Added SigSpec::is_fully_ones() and Const::is_fully_ones()
336 - Added log_file_warning() and log_file_error() functions
337
338 * Formal Verification
339 - Added "write_aiger"
340 - Added "yosys-smtbmc --aig"
341 - Added "always <positive_int>" to .smtc format
342 - Added $cover cell type and support for cover properties
343 - Added $fair/$live cell type and support for liveness properties
344 - Added smtbmc support for memory vcd dumping
345 - Added "chformal" command
346 - Added "write_smt2 -stbv" and "write_smt2 -stdt"
347 - Fix equiv_simple, old behavior now available with "equiv_simple -short"
348 - Change to Yices2 as default SMT solver (it is GPL now)
349 - Added "yosys-smtbmc --presat" (now default in SymbiYosys)
350 - Added "yosys-smtbmc --smtc-init --smtc-top --noinit"
351 - Added a brand new "write_btor" command for BTOR2
352 - Added clk2fflogic memory support and other improvements
353 - Added "async memory write" support to write_smt2
354 - Simulate clock toggling in yosys-smtbmc VCD output
355 - Added $allseq/$allconst cells for EA-solving
356 - Make -nordff the default in "prep"
357 - Added (* gclk *) attribute
358 - Added "async2sync" pass for single-clock designs with async resets
359
360 * Verific support
361 - Many improvements in Verific front-end
362 - Added proper handling of concurent SVA properties
363 - Map "const" and "rand const" to $anyseq/$anyconst
364 - Added "verific -import -flatten" and "verific -import -extnets"
365 - Added "verific -vlog-incdir -vlog-define -vlog-libdir"
366 - Remove PSL support (because PSL has been removed in upstream Verific)
367 - Improve integration with "hierarchy" command design elaboration
368 - Added YOSYS_NOVERIFIC for running non-verific test cases with verific bin
369 - Added simpilied "read" command that automatically uses verific if available
370 - Added "verific -set-<severity> <msg_id>.."
371 - Added "verific -work <libname>"
372
373 * New back-ends
374 - Added initial Coolrunner-II support
375 - Added initial eASIC support
376 - Added initial ECP5 support
377
378 * GreenPAK Support
379 - Added support for GP_DLATCH, GP_SPI, GP_DCMx, GP_COUNTx, etc.
380
381 * iCE40 Support
382 - Add "synth_ice40 -vpr"
383 - Add "synth_ice40 -nodffe"
384 - Add "synth_ice40 -json"
385 - Add Support for UltraPlus cells
386
387 * MAX10 and Cyclone IV Support
388 - Added initial version of metacommand "synth_intel".
389 - Improved write_verilog command to produce VQM netlist for Quartus Prime.
390 - Added support for MAX10 FPGA family synthesis.
391 - Added support for Cyclone IV family synthesis.
392 - Added example of implementation for DE2i-150 board.
393 - Added example of implementation for MAX10 development kit.
394 - Added LFSR example from Asic World.
395 - Added "dffinit -highlow" for mapping to Intel primitives
396
397
398 Yosys 0.6 .. Yosys 0.7
399 ----------------------
400
401 * Various
402 - Added "yosys -D" feature
403 - Added support for installed plugins in $(DATDIR)/plugins/
404 - Renamed opt_const to opt_expr
405 - Renamed opt_share to opt_merge
406 - Added "prep -flatten" and "synth -flatten"
407 - Added "prep -auto-top" and "synth -auto-top"
408 - Using "mfs" and "lutpack" in ABC lut mapping
409 - Support for abstract modules in chparam
410 - Cleanup abstract modules at end of "hierarchy -top"
411 - Added tristate buffer support to iopadmap
412 - Added opt_expr support for div/mod by power-of-two
413 - Added "select -assert-min <N> -assert-max <N>"
414 - Added "attrmvcp" pass
415 - Added "attrmap" command
416 - Added "tee +INT -INT"
417 - Added "zinit" pass
418 - Added "setparam -type"
419 - Added "shregmap" pass
420 - Added "setundef -init"
421 - Added "nlutmap -assert"
422 - Added $sop cell type and "abc -sop -I <num> -P <num>"
423 - Added "dc2" to default ABC scripts
424 - Added "deminout"
425 - Added "insbuf" command
426 - Added "prep -nomem"
427 - Added "opt_rmdff -keepdc"
428 - Added "prep -nokeepdc"
429 - Added initial version of "synth_gowin"
430 - Added "fsm_expand -full"
431 - Added support for fsm_encoding="user"
432 - Many improvements in GreenPAK4 support
433 - Added black box modules for all Xilinx 7-series lib cells
434 - Added synth_ice40 support for latches via logic loops
435 - Fixed ice40_opt lut unmapping, added "ice40_opt -unlut"
436
437 * Build System
438 - Added ABCEXTERNAL and ABCURL make variables
439 - Added BINDIR, LIBDIR, and DATDIR make variables
440 - Added PKG_CONFIG make variable
441 - Added SEED make variable (for "make test")
442 - Added YOSYS_VER_STR make variable
443 - Updated min GCC requirement to GCC 4.8
444 - Updated required Bison version to Bison 3.x
445
446 * Internal APIs
447 - Added ast.h to exported headers
448 - Added ScriptPass helper class for script-like passes
449 - Added CellEdgesDatabase API
450
451 * Front-ends and Back-ends
452 - Added filename glob support to all front-ends
453 - Added avail (black-box) module params to ilang format
454 - Added $display %m support
455 - Added support for $stop Verilog system task
456 - Added support for SystemVerilog packages
457 - Fixed procedural assignments to non-unique lvalues, e.g. {y,y} = {a,b}
458 - Added support for "active high" and "active low" latches in read_blif and write_blif
459 - Use init value "2" for all uninitialized FFs in BLIF back-end
460 - Added "read_blif -sop"
461 - Added "write_blif -noalias"
462 - Added various write_blif options for VTR support
463 - write_json: also write module attributes.
464 - Added "write_verilog -nodec -nostr -defparam"
465 - Added "read_verilog -norestrict -assume-asserts"
466 - Added support for bus interfaces to "read_liberty -lib"
467 - Added liberty parser support for types within cell decls
468 - Added "write_verilog -renameprefix -v"
469 - Added "write_edif -nogndvcc"
470
471 * Formal Verification
472 - Support for hierarchical designs in smt2 back-end
473 - Yosys-smtbmc: Support for hierarchical VCD dumping
474 - Added $initstate cell type and vlog function
475 - Added $anyconst and $anyseq cell types and vlog functions
476 - Added printing of code loc of failed asserts to yosys-smtbmc
477 - Added memory_memx pass, "memory -memx", and "prep -memx"
478 - Added "proc_mux -ifx"
479 - Added "yosys-smtbmc -g"
480 - Deprecated "write_smt2 -regs" (by default on now)
481 - Made "write_smt2 -bv -mem" default, added "write_smt2 -nobv -nomem"
482 - Added support for memories to smtio.py
483 - Added "yosys-smtbmc --dump-vlogtb"
484 - Added "yosys-smtbmc --smtc --dump-smtc"
485 - Added "yosys-smtbmc --dump-all"
486 - Added assertpmux command
487 - Added "yosys-smtbmc --unroll"
488 - Added $past, $stable, $rose, $fell SVA functions
489 - Added "yosys-smtbmc --noinfo and --dummy"
490 - Added "yosys-smtbmc --noincr"
491 - Added "yosys-smtbmc --cex <filename>"
492 - Added $ff and $_FF_ cell types
493 - Added $global_clock verilog syntax support for creating $ff cells
494 - Added clk2fflogic
495
496
497 Yosys 0.5 .. Yosys 0.6
498 ----------------------
499
500 * Various
501 - Added Contributor Covenant Code of Conduct
502 - Various improvements in dict<> and pool<>
503 - Added hashlib::mfp and refactored SigMap
504 - Improved support for reals as module parameters
505 - Various improvements in SMT2 back-end
506 - Added "keep_hierarchy" attribute
507 - Verilog front-end: define `BLACKBOX in -lib mode
508 - Added API for converting internal cells to AIGs
509 - Added ENABLE_LIBYOSYS Makefile option
510 - Removed "techmap -share_map" (use "-map +/filename" instead)
511 - Switched all Python scripts to Python 3
512 - Added support for $display()/$write() and $finish() to Verilog front-end
513 - Added "yosys-smtbmc" formal verification flow
514 - Added options for clang sanitizers to Makefile
515
516 * New commands and options
517 - Added "scc -expect <N> -nofeedback"
518 - Added "proc_dlatch"
519 - Added "check"
520 - Added "select %xe %cie %coe %M %C %R"
521 - Added "sat -dump_json" (WaveJSON format)
522 - Added "sat -tempinduct-baseonly -tempinduct-inductonly"
523 - Added "sat -stepsize" and "sat -tempinduct-step"
524 - Added "sat -show-regs -show-public -show-all"
525 - Added "write_json" (Native Yosys JSON format)
526 - Added "write_blif -attr"
527 - Added "dffinit"
528 - Added "chparam"
529 - Added "muxcover"
530 - Added "pmuxtree"
531 - Added memory_bram "make_outreg" feature
532 - Added "splice -wires"
533 - Added "dff2dffe -direct-match"
534 - Added simplemap $lut support
535 - Added "read_blif"
536 - Added "opt_share -share_all"
537 - Added "aigmap"
538 - Added "write_smt2 -mem -regs -wires"
539 - Added "memory -nordff"
540 - Added "write_smv"
541 - Added "synth -nordff -noalumacc"
542 - Added "rename -top new_name"
543 - Added "opt_const -clkinv"
544 - Added "synth -nofsm"
545 - Added "miter -assert"
546 - Added "read_verilog -noautowire"
547 - Added "read_verilog -nodpi"
548 - Added "tribuf"
549 - Added "lut2mux"
550 - Added "nlutmap"
551 - Added "qwp"
552 - Added "test_cell -noeval"
553 - Added "edgetypes"
554 - Added "equiv_struct"
555 - Added "equiv_purge"
556 - Added "equiv_mark"
557 - Added "equiv_add -try -cell"
558 - Added "singleton"
559 - Added "abc -g -luts"
560 - Added "torder"
561 - Added "write_blif -cname"
562 - Added "submod -copy"
563 - Added "dffsr2dff"
564 - Added "stat -liberty"
565
566 * Synthesis metacommands
567 - Various improvements in synth_xilinx
568 - Added synth_ice40 and synth_greenpak4
569 - Added "prep" metacommand for "synthesis lite"
570
571 * Cell library changes
572 - Added cell types to "help" system
573 - Added $meminit cell type
574 - Added $assume cell type
575 - Added $_MUX4_, $_MUX8_, and $_MUX16_ cells
576 - Added $tribuf and $_TBUF_ cell types
577 - Added read-enable to memory model
578
579 * YosysJS
580 - Various improvements in emscripten build
581 - Added alternative webworker-based JS API
582 - Added a few example applications
583
584
585 Yosys 0.4 .. Yosys 0.5
586 ----------------------
587
588 * API changes
589 - Added log_warning()
590 - Added eval_select_args() and eval_select_op()
591 - Added cell->known(), cell->input(portname), cell->output(portname)
592 - Skip blackbox modules in design->selected_modules()
593 - Replaced std::map<> and std::set<> with dict<> and pool<>
594 - New SigSpec::extend() is what used to be SigSpec::extend_u0()
595 - Added YS_OVERRIDE, YS_FINAL, YS_ATTRIBUTE, YS_NORETURN
596
597 * Cell library changes
598 - Added flip-flops with enable ($dffe etc.)
599 - Added $equiv cells for equivalence checking framework
600
601 * Various
602 - Updated ABC to hg rev 61ad5f908c03
603 - Added clock domain partitioning to ABC pass
604 - Improved plugin building (see "yosys-config --build")
605 - Added ENABLE_NDEBUG Makefile flag for high-performance builds
606 - Added "yosys -d", "yosys -L" and other driver improvements
607 - Added support for multi-bit (array) cell ports to "write_edif"
608 - Now printing most output to stdout, not stderr
609 - Added "onehot" attribute (set by "fsm_map")
610 - Various performance improvements
611 - Vastly improved Xilinx flow
612 - Added "make unsintall"
613
614 * Equivalence checking
615 - Added equivalence checking commands:
616 equiv_make equiv_simple equiv_status
617 equiv_induct equiv_miter
618 equiv_add equiv_remove
619
620 * Block RAM support:
621 - Added "memory_bram" command
622 - Added BRAM support to Xilinx flow
623
624 * Other New Commands and Options
625 - Added "dff2dffe"
626 - Added "fsm -encfile"
627 - Added "dfflibmap -prepare"
628 - Added "write_blid -unbuf -undef -blackbox"
629 - Added "write_smt2" for writing SMT-LIBv2 files
630 - Added "test_cell -w -muxdiv"
631 - Added "select -read"
632
633
634 Yosys 0.3.0 .. Yosys 0.4
635 ------------------------
636
637 * Platform Support
638 - Added support for mxe-based cross-builds for win32
639 - Added sourcecode-export as VisualStudio project
640 - Added experimental EMCC (JavaScript) support
641
642 * Verilog Frontend
643 - Added -sv option for SystemVerilog (and automatic *.sv file support)
644 - Added support for real-valued constants and constant expressions
645 - Added support for non-standard "via_celltype" attribute on task/func
646 - Added support for non-standard "module mod_name(...);" syntax
647 - Added support for non-standard """ macro bodies
648 - Added support for array with more than one dimension
649 - Added support for $readmemh and $readmemb
650 - Added support for DPI functions
651
652 * Changes in internal cell library
653 - Added $shift and $shiftx cell types
654 - Added $alu, $lcu, $fa and $macc cell types
655 - Removed $bu0 and $safe_pmux cell types
656 - $mem/$memwr WR_EN input is now a per-data-bit enable signal
657 - Added $_NAND_ $_NOR_ $_XNOR_ $_AOI3_ $_OAI3_ $_AOI4_ $_OAI4_
658 - Renamed ports of $lut cells (from I->O to A->Y)
659 - Renamed $_INV_ to $_NOT_
660
661 * Changes for simple synthesis flows
662 - There is now a "synth" command with a recommended default script
663 - Many improvements in synthesis of arithmetic functions to gates
664 - Multipliers and adders with many operands are using carry-save adder trees
665 - Remaining adders are now implemented using Brent-Kung carry look-ahead adders
666 - Various new high-level optimizations on RTL netlist
667 - Various improvements in FSM optimization
668 - Updated ABC to hg 5b5af75f1dda (from 2014-11-07)
669
670 * Changes in internal APIs and RTLIL
671 - Added log_id() and log_cell() helper functions
672 - Added function-like cell creation helpers
673 - Added GetSize() function (like .size() but with int)
674 - Major refactoring of RTLIL::Module and related classes
675 - Major refactoring of RTLIL::SigSpec and related classes
676 - Now RTLIL::IdString is essentially an int
677 - Added macros for code coverage counters
678 - Added some Makefile magic for pretty make logs
679 - Added "kernel/yosys.h" with all the core definitions
680 - Changed a lot of code from FILE* to c++ streams
681 - Added RTLIL::Monitor API and "trace" command
682 - Added "Yosys" C++ namespace
683
684 * Changes relevant to SAT solving
685 - Added ezSAT::keep_cnf() and ezSAT::non_incremental()
686 - Added native ezSAT support for vector shift ops
687 - Updated MiniSAT to git 37dc6c67e2 (from 2013-09-25)
688
689 * New commands (or large improvements to commands)
690 - Added "synth" command with default script
691 - Added "share" (finally some real resource sharing)
692 - Added "memory_share" (reduce number of ports on memories)
693 - Added "wreduce" and "alumacc" commands
694 - Added "opt -keepdc -fine -full -fast"
695 - Added some "test_*" commands
696
697 * Various other changes
698 - Added %D and %c select operators
699 - Added support for labels in yosys scripts
700 - Added support for here-documents in yosys scripts
701 - Support "+/" prefix for files from proc_share_dir
702 - Added "autoidx" statement to ilang language
703 - Switched from "yosys-svgviewer" to "xdot"
704 - Renamed "stdcells.v" to "techmap.v"
705 - Various bug fixes and small improvements
706 - Improved welcome and bye messages
707
708
709 Yosys 0.2.0 .. Yosys 0.3.0
710 --------------------------
711
712 * Driver program and overall behavior:
713 - Added "design -push" and "design -pop"
714 - Added "tee" command for redirecting log output
715
716 * Changes in the internal cell library:
717 - Added $dlatchsr and $_DLATCHSR_???_ cell types
718
719 * Improvements in Verilog frontend:
720 - Improved support for const functions (case, always, repeat)
721 - The generate..endgenerate keywords are now optional
722 - Added support for arrays of module instances
723 - Added support for "`default_nettype" directive
724 - Added support for "`line" directive
725
726 * Other front- and back-ends:
727 - Various changes to "write_blif" options
728 - Various improvements in EDIF backend
729 - Added "vhdl2verilog" pseudo-front-end
730 - Added "verific" pseudo-front-end
731
732 * Improvements in technology mapping:
733 - Added support for recursive techmap
734 - Added CONSTMSK and CONSTVAL features to techmap
735 - Added _TECHMAP_CONNMAP_*_ feature to techmap
736 - Added _TECHMAP_REPLACE_ feature to techmap
737 - Added "connwrappers" command for wrap-extract-unwrap method
738 - Added "extract -map %<design_name>" feature
739 - Added "extract -ignore_param ..." and "extract -ignore_parameters"
740 - Added "techmap -max_iter" option
741
742 * Improvements to "eval" and "sat" framework:
743 - Now include a copy of Minisat (with build fixes applied)
744 - Switched to Minisat::SimpSolver as SAT back-end
745 - Added "sat -dump_vcd" feature
746 - Added "sat -dump_cnf" feature
747 - Added "sat -initsteps <N>" feature
748 - Added "freduce -stop <N>" feature
749 - Added "freduce -dump <prefix>" feature
750
751 * Integration with ABC:
752 - Updated ABC rev to 7600ffb9340c
753
754 * Improvements in the internal APIs:
755 - Added RTLIL::Module::add... helper methods
756 - Various build fixes for OSX (Darwin) and OpenBSD
757
758
759 Yosys 0.1.0 .. Yosys 0.2.0
760 --------------------------
761
762 * Changes to the driver program:
763 - Added "yosys -h" and "yosys -H"
764 - Added support for backslash line continuation in scripts
765 - Added support for #-comments in same line as command
766 - Added "echo" and "log" commands
767
768 * Improvements in Verilog frontend:
769 - Added support for local registers in named blocks
770 - Added support for "case" in "generate" blocks
771 - Added support for $clog2 system function
772 - Added support for basic SystemVerilog assert statements
773 - Added preprocessor support for macro arguments
774 - Added preprocessor support for `elsif statement
775 - Added "verilog_defaults" command
776 - Added read_verilog -icells option
777 - Added support for constant sizes from parameters
778 - Added "read_verilog -setattr"
779 - Added support for function returning 'integer'
780 - Added limited support for function calls in parameter values
781 - Added "read_verilog -defer" to suppress evaluation of modules with default parameters
782
783 * Other front- and back-ends:
784 - Added BTOR backend
785 - Added Liberty frontend
786
787 * Improvements in technology mapping:
788 - The "dfflibmap" command now strongly prefers solutions with
789 no inverters in clock paths
790 - The "dfflibmap" command now prefers cells with smaller area
791 - Added support for multiple -map options to techmap
792 - Added "dfflibmap" support for //-comments in liberty files
793 - Added "memory_unpack" command to revert "memory_collect"
794 - Added standard techmap rule "techmap -share_map pmux2mux.v"
795 - Added "iopadmap -bits"
796 - Added "setundef" command
797 - Added "hilomap" command
798
799 * Changes in the internal cell library:
800 - Major rewrite of simlib.v for better compatibility with other tools
801 - Added PRIORITY parameter to $memwr cells
802 - Added TRANSPARENT parameter to $memrd cells
803 - Added RD_TRANSPARENT parameter to $mem cells
804 - Added $bu0 cell (always 0-extend, even undef MSB)
805 - Added $assert cell type
806 - Added $slice and $concat cell types
807
808 * Integration with ABC:
809 - Updated ABC to hg rev 2058c8ccea68
810 - Tighter integration of ABC build with Yosys build. The make
811 targets 'make abc' and 'make install-abc' are now obsolete.
812 - Added support for passing FFs from one clock domain through ABC
813 - Now always use BLIF as exchange format with ABC
814 - Added support for "abc -script +<command_sequence>"
815 - Improved standard ABC recipe
816 - Added support for "keep" attribute to abc command
817 - Added "abc -dff / -clk / -keepff" options
818
819 * Improvements to "eval" and "sat" framework:
820 - Added support for "0" and "~0" in right-hand side -set expressions
821 - Added "eval -set-undef" and "eval -table"
822 - Added "sat -set-init" and "sat -set-init-*" for sequential problems
823 - Added undef support to SAT solver, incl. various new "sat" options
824 - Added correct support for === and !== for "eval" and "sat"
825 - Added "sat -tempinduct" (default -seq is now non-induction sequential)
826 - Added "sat -prove-asserts"
827 - Complete rewrite of the 'freduce' command
828 - Added "miter" command
829 - Added "sat -show-inputs" and "sat -show-outputs"
830 - Added "sat -ignore_unknown_cells" (now produce an error by default)
831 - Added "sat -falsify"
832 - Now "sat -verify" and "sat -falsify" can also be used without "-prove"
833 - Added "expose" command
834 - Added support for @<sel_name> to sat and eval signal expressions
835
836 * Changes in the 'make test' framework and auxiliary test tools:
837 - Added autotest.sh -p and -f options
838 - Replaced autotest.sh ISIM support with XSIM support
839 - Added test cases for SAT framework
840
841 * Added "abbreviated IDs":
842 - Now $<something>$foo can be abbreviated as $foo.
843 - Usually this last part is a unique id (from RTLIL::autoidx)
844 - This abbreviated IDs are now also used in "show" output
845
846 * Other changes to selection framework:
847 - Now */ is optional in */<mode>:<arg> expressions
848 - Added "select -assert-none" and "select -assert-any"
849 - Added support for matching modules by attribute (A:<expr>)
850 - Added "select -none"
851 - Added support for r:<expr> pattern for matching cell parameters
852 - Added support for !=, <, <=, >=, > for attribute and parameter matching
853 - Added support for %s for selecting sub-modules
854 - Added support for %m for expanding selections to whole modules
855 - Added support for i:*, o:* and x:* pattern for selecting module ports
856 - Added support for s:<expr> pattern for matching wire width
857 - Added support for %a operation to select wire aliases
858
859 * Various other changes to commands and options:
860 - The "ls" command now supports wildcards
861 - Added "show -pause" and "show -format dot"
862 - Added "show -color" support for cells
863 - Added "show -label" and "show -notitle"
864 - Added "dump -m" and "dump -n"
865 - Added "history" command
866 - Added "rename -hide"
867 - Added "connect" command
868 - Added "splitnets -driver"
869 - Added "opt_const -mux_undef"
870 - Added "opt_const -mux_bool"
871 - Added "opt_const -undriven"
872 - Added "opt -mux_undef -mux_bool -undriven -purge"
873 - Added "hierarchy -libdir"
874 - Added "hierarchy -purge_lib" (by default now do not remove lib cells)
875 - Added "delete" command
876 - Added "dump -append"
877 - Added "setattr" and "setparam" commands
878 - Added "design -stash/-copy-from/-copy-to"
879 - Added "copy" command
880 - Added "splice" command
881