Merge pull request #2008 from whitequark/editorconfig-abc
[yosys.git] / CHANGELOG
1
2 List of major changes and improvements between releases
3 =======================================================
4
5
6 Yosys 0.9 .. Yosys 0.9-dev
7 --------------------------
8
9 * Various
10 - Added "write_xaiger" backend
11 - Added "abc9" pass for timing-aware techmapping (experimental, FPGA only)
12 - Added "synth_xilinx -abc9" (experimental)
13 - Added "synth_ice40 -abc9" (experimental)
14 - Added "synth -abc9" (experimental)
15 - Added "script -scriptwire"
16 - Added "synth_xilinx -nocarry"
17 - Added "synth_xilinx -nowidelut"
18 - Added "synth_ecp5 -nowidelut"
19 - "synth_xilinx" to now infer wide multiplexers (-widemux <min> to enable)
20 - Renamed labels/options in synth_ice40 (e.g. dram -> map_lutram; -nodram -> -nolutram)
21 - Renamed labels/options in synth_ecp5 (e.g. dram -> map_lutram; -nodram -> -nolutram)
22 - Renamed labels in synth_intel (e.g. bram -> map_bram)
23 - Renamed labels/options in synth_xilinx (e.g. dram -> map_lutram; -nodram -> -nolutram)
24 - Added automatic gzip decompression for frontends
25 - Added $_NMUX_ cell type
26 - Added automatic gzip compression (based on filename extension) for backends
27 - Improve attribute and parameter encoding in JSON to avoid ambiguities between
28 bit vectors and strings containing [01xz]*
29 - Added "clkbufmap" pass
30 - Added "extractinv" pass and "invertible_pin" attribute
31 - Added "synth_xilinx -family xc6s" for Spartan 6 support (experimental)
32 - Added "synth_xilinx -ise" (experimental)
33 - Added "synth_xilinx -iopad"
34 - "synth_xilinx" now automatically inserts clock buffers (add -noclkbuf to disable)
35 - Improvements in pmgen: subpattern and recursive matches
36 - Added "opt_share" pass, run as part of "opt -full"
37 - Added "ice40_wrapcarry" to encapsulate SB_LUT+SB_CARRY pairs for techmapping
38 - Removed "ice40_unlut"
39 - Improvements in pmgen: slices, choices, define, generate
40 - Added "xilinx_srl" for Xilinx shift register extraction
41 - Removed "shregmap -tech xilinx" (superseded by "xilinx_srl")
42 - Added "_TECHMAP_WIREINIT_*_" attribute and "_TECHMAP_REMOVEINIT_*_" wire for "techmap" pass
43 - Added "-match-init" option to "dff2dffs" pass
44 - Added "techmap_autopurge" support to techmap
45 - Added "add -mod <modname[s]>"
46 - Added +/mul2dsp.v for decomposing wide multipliers to custom-sized ones
47 - Added "ice40_dsp" for Lattice iCE40 DSP packing
48 - Added "xilinx_dsp" for Xilinx DSP packing
49 - "synth_xilinx" to now infer DSP blocks (-nodsp to disable)
50 - "synth_ecp5" to now infer DSP blocks (-nodsp to disable, experimental)
51 - "synth_ice40 -dsp" to infer DSP blocks
52 - Added latch support to synth_xilinx
53 - Added support for flip-flops with synchronous reset to synth_xilinx
54 - Added support for flip-flops with reset and enable to synth_xilinx
55 - Added "check -mapped"
56 - Added checking of SystemVerilog always block types (always_comb,
57 always_latch and always_ff)
58 - Added support for SystemVerilog wildcard port connections (.*)
59 - Added "xilinx_dffopt" pass
60 - Added "scratchpad" pass
61 - Added "synth_xilinx -dff"
62 - Improved support of $readmem[hb] Memory Content File inclusion
63 - Added "opt_lut_ins" pass
64 - Added "logger" pass
65 - Removed "dffsr2dff" (use opt_rmdff instead)
66 - Added "design -delete"
67 - Added "select -unset"
68 - Use YosysHQ/abc instead of upstream berkeley-abc/abc
69
70 Yosys 0.8 .. Yosys 0.9
71 ----------------------
72
73 * Various
74 - Many bugfixes and small improvements
75 - Added support for SystemVerilog interfaces and modports
76 - Added "write_edif -attrprop"
77 - Added "opt_lut" pass
78 - Added "gate2lut.v" techmap rule
79 - Added "rename -src"
80 - Added "equiv_opt" pass
81 - Added "flowmap" LUT mapping pass
82 - Added "rename -wire" to rename cells based on the wires they drive
83 - Added "bugpoint" for creating minimised testcases
84 - Added "write_edif -gndvccy"
85 - "write_verilog" to escape Verilog keywords
86 - Fixed sign handling of real constants
87 - "write_verilog" to write initial statement for initial flop state
88 - Added pmgen pattern matcher generator
89 - Fixed opt_rmdff handling of $_DFFSR_???_ and $_DLATCHSR_???_
90 - Added "setundef -params" to replace undefined cell parameters
91 - Renamed "yosys -D" to "yosys -U", added "yosys -D" to set Verilog defines
92 - Fixed handling of defparam when default_nettype is none
93 - Fixed "wreduce" flipflop handling
94 - Fixed FIRRTL to Verilog process instance subfield assignment
95 - Added "write_verilog -siminit"
96 - Several fixes and improvements for mem2reg memories
97 - Fixed handling of task output ports in clocked always blocks
98 - Improved handling of and-with-1 and or-with-0 in "opt_expr"
99 - Added "read_aiger" frontend
100 - Added "mutate" pass
101 - Added "hdlname" attribute
102 - Added "rename -output"
103 - Added "read_ilang -lib"
104 - Improved "proc" full_case detection and handling
105 - Added "whitebox" and "lib_whitebox" attributes
106 - Added "read_verilog -nowb", "flatten -wb" and "wbflip"
107 - Added Python bindings and support for Python plug-ins
108 - Added "pmux2shiftx"
109 - Added log_debug framework for reduced default verbosity
110 - Improved "opt_expr" and "opt_clean" handling of (partially) undriven and/or unused wires
111 - Added "peepopt" peephole optimisation pass using pmgen
112 - Added approximate support for SystemVerilog "var" keyword
113 - Added parsing of "specify" blocks into $specrule and $specify[23]
114 - Added support for attributes on parameters and localparams
115 - Added support for parsing attributes on port connections
116 - Added "wreduce -keepdc"
117 - Added support for optimising $dffe and $_DFFE_* cells in "opt_rmdff"
118 - Added Verilog wand/wor wire type support
119 - Added support for elaboration system tasks
120 - Added "muxcover -mux{4,8,16}=<cost>"
121 - Added "muxcover -dmux=<cost>"
122 - Added "muxcover -nopartial"
123 - Added "muxpack" pass
124 - Added "pmux2shiftx -norange"
125 - Added support for "~" in filename parsing
126 - Added "read_verilog -pwires" feature to turn parameters into wires
127 - Fixed sign extension of unsized constants with 'bx and 'bz MSB
128 - Fixed genvar to be a signed type
129 - Added support for attributes on case rules
130 - Added "upto" and "offset" to JSON frontend and backend
131 - Several liberty file parser improvements
132 - Fixed handling of more complex BRAM patterns
133 - Add "write_aiger -I -O -B"
134
135 * Formal Verification
136 - Added $changed support to read_verilog
137 - Added "read_verilog -noassert -noassume -assert-assumes"
138 - Added btor ops for $mul, $div, $mod and $concat
139 - Added yosys-smtbmc support for btor witnesses
140 - Added "supercover" pass
141 - Fixed $global_clock handling vs autowire
142 - Added $dffsr support to "async2sync"
143 - Added "fmcombine" pass
144 - Added memory init support in "write_btor"
145 - Added "cutpoint" pass
146 - Changed "ne" to "neq" in btor2 output
147 - Added support for SVA "final" keyword
148 - Added "fmcombine -initeq -anyeq"
149 - Added timescale and generated-by header to yosys-smtbmc vcd output
150 - Improved BTOR2 handling of undriven wires
151
152 * Verific support
153 - Enabled Verific flags vhdl_support_variable_slice and veri_elaborate_top_level_modules_having_interface_ports
154 - Improved support for asymmetric memories
155 - Added "verific -chparam"
156 - Fixed "verific -extnets" for more complex situations
157 - Added "read -verific" and "read -noverific"
158 - Added "hierarchy -chparam"
159
160 * New back-ends
161 - Added initial Anlogic support
162 - Added initial SmartFusion2 and IGLOO2 support
163
164 * ECP5 support
165 - Added "synth_ecp5 -nowidelut"
166 - Added BRAM inference support to "synth_ecp5"
167 - Added support for transforming Diamond IO and flipflop primitives
168
169 * iCE40 support
170 - Added "ice40_unlut" pass
171 - Added "synth_ice40 -relut"
172 - Added "synth_ice40 -noabc"
173 - Added "synth_ice40 -dffe_min_ce_use"
174 - Added DSP inference support using pmgen
175 - Added support for initialising BRAM primitives from a file
176 - Added iCE40 Ultra RGB LED driver cells
177
178 * Xilinx support
179 - Use "write_edif -pvector bra" for Xilinx EDIF files
180 - Fixes for VPR place and route support with "synth_xilinx"
181 - Added more cell simulation models
182 - Added "synth_xilinx -family"
183 - Added "stat -tech xilinx" to estimate logic cell usage
184 - Added "synth_xilinx -nocarry"
185 - Added "synth_xilinx -nowidelut"
186 - "synth_xilinx" to now infer hard shift registers (-nosrl to disable)
187 - Added support for mapping RAM32X1D
188
189 Yosys 0.7 .. Yosys 0.8
190 ----------------------
191
192 * Various
193 - Many bugfixes and small improvements
194 - Strip debug symbols from installed binary
195 - Replace -ignore_redef with -[no]overwrite in front-ends
196 - Added write_verilog hex dump support, add -nohex option
197 - Added "write_verilog -decimal"
198 - Added "scc -set_attr"
199 - Added "verilog_defines" command
200 - Remember defines from one read_verilog to next
201 - Added support for hierarchical defparam
202 - Added FIRRTL back-end
203 - Improved ABC default scripts
204 - Added "design -reset-vlog"
205 - Added "yosys -W regex", "yosys -w regex", and "yosys -e regex"
206 - Added Verilog $rtoi and $itor support
207 - Added "check -initdrv"
208 - Added "read_blif -wideports"
209 - Added support for SystemVerilog "++" and "--" operators
210 - Added support for SystemVerilog unique, unique0, and priority case
211 - Added "write_edif" options for edif "flavors"
212 - Added support for resetall compiler directive
213 - Added simple C beck-end (bitwise combinatorical only atm)
214 - Added $_ANDNOT_ and $_ORNOT_ cell types
215 - Added cell library aliases to "abc -g"
216 - Added "setundef -anyseq"
217 - Added "chtype" command
218 - Added "design -import"
219 - Added "write_table" command
220 - Added "read_json" command
221 - Added "sim" command
222 - Added "extract_fa" and "extract_reduce" commands
223 - Added "extract_counter" command
224 - Added "opt_demorgan" command
225 - Added support for $size and $bits SystemVerilog functions
226 - Added "blackbox" command
227 - Added "ltp" command
228 - Added support for editline as replacement for readline
229 - Added warnings for driver-driver conflicts between FFs (and other cells) and constants
230 - Added "yosys -E" for creating Makefile dependencies files
231 - Added "synth -noshare"
232 - Added "memory_nordff"
233 - Added "setundef -undef -expose -anyconst"
234 - Added "expose -input"
235 - Added specify/specparam parser support (simply ignore them)
236 - Added "write_blif -inames -iattr"
237 - Added "hierarchy -simcheck"
238 - Added an option to statically link abc into yosys
239 - Added protobuf back-end
240 - Added BLIF parsing support for .conn and .cname
241 - Added read_verilog error checking for reg/wire/logic misuse
242 - Added "make coverage" and ENABLE_GCOV build option
243
244 * Changes in Yosys APIs
245 - Added ConstEval defaultval feature
246 - Added {get,set}_src_attribute() methods on RTLIL::AttrObject
247 - Added SigSpec::is_fully_ones() and Const::is_fully_ones()
248 - Added log_file_warning() and log_file_error() functions
249
250 * Formal Verification
251 - Added "write_aiger"
252 - Added "yosys-smtbmc --aig"
253 - Added "always <positive_int>" to .smtc format
254 - Added $cover cell type and support for cover properties
255 - Added $fair/$live cell type and support for liveness properties
256 - Added smtbmc support for memory vcd dumping
257 - Added "chformal" command
258 - Added "write_smt2 -stbv" and "write_smt2 -stdt"
259 - Fix equiv_simple, old behavior now available with "equiv_simple -short"
260 - Change to Yices2 as default SMT solver (it is GPL now)
261 - Added "yosys-smtbmc --presat" (now default in SymbiYosys)
262 - Added "yosys-smtbmc --smtc-init --smtc-top --noinit"
263 - Added a brand new "write_btor" command for BTOR2
264 - Added clk2fflogic memory support and other improvements
265 - Added "async memory write" support to write_smt2
266 - Simulate clock toggling in yosys-smtbmc VCD output
267 - Added $allseq/$allconst cells for EA-solving
268 - Make -nordff the default in "prep"
269 - Added (* gclk *) attribute
270 - Added "async2sync" pass for single-clock designs with async resets
271
272 * Verific support
273 - Many improvements in Verific front-end
274 - Added proper handling of concurent SVA properties
275 - Map "const" and "rand const" to $anyseq/$anyconst
276 - Added "verific -import -flatten" and "verific -import -extnets"
277 - Added "verific -vlog-incdir -vlog-define -vlog-libdir"
278 - Remove PSL support (because PSL has been removed in upstream Verific)
279 - Improve integration with "hierarchy" command design elaboration
280 - Added YOSYS_NOVERIFIC for running non-verific test cases with verific bin
281 - Added simpilied "read" command that automatically uses verific if available
282 - Added "verific -set-<severity> <msg_id>.."
283 - Added "verific -work <libname>"
284
285 * New back-ends
286 - Added initial Coolrunner-II support
287 - Added initial eASIC support
288 - Added initial ECP5 support
289
290 * GreenPAK Support
291 - Added support for GP_DLATCH, GP_SPI, GP_DCMx, GP_COUNTx, etc.
292
293 * iCE40 Support
294 - Add "synth_ice40 -vpr"
295 - Add "synth_ice40 -nodffe"
296 - Add "synth_ice40 -json"
297 - Add Support for UltraPlus cells
298
299 * MAX10 and Cyclone IV Support
300 - Added initial version of metacommand "synth_intel".
301 - Improved write_verilog command to produce VQM netlist for Quartus Prime.
302 - Added support for MAX10 FPGA family synthesis.
303 - Added support for Cyclone IV family synthesis.
304 - Added example of implementation for DE2i-150 board.
305 - Added example of implementation for MAX10 development kit.
306 - Added LFSR example from Asic World.
307 - Added "dffinit -highlow" for mapping to Intel primitives
308
309
310 Yosys 0.6 .. Yosys 0.7
311 ----------------------
312
313 * Various
314 - Added "yosys -D" feature
315 - Added support for installed plugins in $(DATDIR)/plugins/
316 - Renamed opt_const to opt_expr
317 - Renamed opt_share to opt_merge
318 - Added "prep -flatten" and "synth -flatten"
319 - Added "prep -auto-top" and "synth -auto-top"
320 - Using "mfs" and "lutpack" in ABC lut mapping
321 - Support for abstract modules in chparam
322 - Cleanup abstract modules at end of "hierarchy -top"
323 - Added tristate buffer support to iopadmap
324 - Added opt_expr support for div/mod by power-of-two
325 - Added "select -assert-min <N> -assert-max <N>"
326 - Added "attrmvcp" pass
327 - Added "attrmap" command
328 - Added "tee +INT -INT"
329 - Added "zinit" pass
330 - Added "setparam -type"
331 - Added "shregmap" pass
332 - Added "setundef -init"
333 - Added "nlutmap -assert"
334 - Added $sop cell type and "abc -sop -I <num> -P <num>"
335 - Added "dc2" to default ABC scripts
336 - Added "deminout"
337 - Added "insbuf" command
338 - Added "prep -nomem"
339 - Added "opt_rmdff -keepdc"
340 - Added "prep -nokeepdc"
341 - Added initial version of "synth_gowin"
342 - Added "fsm_expand -full"
343 - Added support for fsm_encoding="user"
344 - Many improvements in GreenPAK4 support
345 - Added black box modules for all Xilinx 7-series lib cells
346 - Added synth_ice40 support for latches via logic loops
347 - Fixed ice40_opt lut unmapping, added "ice40_opt -unlut"
348
349 * Build System
350 - Added ABCEXTERNAL and ABCURL make variables
351 - Added BINDIR, LIBDIR, and DATDIR make variables
352 - Added PKG_CONFIG make variable
353 - Added SEED make variable (for "make test")
354 - Added YOSYS_VER_STR make variable
355 - Updated min GCC requirement to GCC 4.8
356 - Updated required Bison version to Bison 3.x
357
358 * Internal APIs
359 - Added ast.h to exported headers
360 - Added ScriptPass helper class for script-like passes
361 - Added CellEdgesDatabase API
362
363 * Front-ends and Back-ends
364 - Added filename glob support to all front-ends
365 - Added avail (black-box) module params to ilang format
366 - Added $display %m support
367 - Added support for $stop Verilog system task
368 - Added support for SystemVerilog packages
369 - Fixed procedural assignments to non-unique lvalues, e.g. {y,y} = {a,b}
370 - Added support for "active high" and "active low" latches in read_blif and write_blif
371 - Use init value "2" for all uninitialized FFs in BLIF back-end
372 - Added "read_blif -sop"
373 - Added "write_blif -noalias"
374 - Added various write_blif options for VTR support
375 - write_json: also write module attributes.
376 - Added "write_verilog -nodec -nostr -defparam"
377 - Added "read_verilog -norestrict -assume-asserts"
378 - Added support for bus interfaces to "read_liberty -lib"
379 - Added liberty parser support for types within cell decls
380 - Added "write_verilog -renameprefix -v"
381 - Added "write_edif -nogndvcc"
382
383 * Formal Verification
384 - Support for hierarchical designs in smt2 back-end
385 - Yosys-smtbmc: Support for hierarchical VCD dumping
386 - Added $initstate cell type and vlog function
387 - Added $anyconst and $anyseq cell types and vlog functions
388 - Added printing of code loc of failed asserts to yosys-smtbmc
389 - Added memory_memx pass, "memory -memx", and "prep -memx"
390 - Added "proc_mux -ifx"
391 - Added "yosys-smtbmc -g"
392 - Deprecated "write_smt2 -regs" (by default on now)
393 - Made "write_smt2 -bv -mem" default, added "write_smt2 -nobv -nomem"
394 - Added support for memories to smtio.py
395 - Added "yosys-smtbmc --dump-vlogtb"
396 - Added "yosys-smtbmc --smtc --dump-smtc"
397 - Added "yosys-smtbmc --dump-all"
398 - Added assertpmux command
399 - Added "yosys-smtbmc --unroll"
400 - Added $past, $stable, $rose, $fell SVA functions
401 - Added "yosys-smtbmc --noinfo and --dummy"
402 - Added "yosys-smtbmc --noincr"
403 - Added "yosys-smtbmc --cex <filename>"
404 - Added $ff and $_FF_ cell types
405 - Added $global_clock verilog syntax support for creating $ff cells
406 - Added clk2fflogic
407
408
409 Yosys 0.5 .. Yosys 0.6
410 ----------------------
411
412 * Various
413 - Added Contributor Covenant Code of Conduct
414 - Various improvements in dict<> and pool<>
415 - Added hashlib::mfp and refactored SigMap
416 - Improved support for reals as module parameters
417 - Various improvements in SMT2 back-end
418 - Added "keep_hierarchy" attribute
419 - Verilog front-end: define `BLACKBOX in -lib mode
420 - Added API for converting internal cells to AIGs
421 - Added ENABLE_LIBYOSYS Makefile option
422 - Removed "techmap -share_map" (use "-map +/filename" instead)
423 - Switched all Python scripts to Python 3
424 - Added support for $display()/$write() and $finish() to Verilog front-end
425 - Added "yosys-smtbmc" formal verification flow
426 - Added options for clang sanitizers to Makefile
427
428 * New commands and options
429 - Added "scc -expect <N> -nofeedback"
430 - Added "proc_dlatch"
431 - Added "check"
432 - Added "select %xe %cie %coe %M %C %R"
433 - Added "sat -dump_json" (WaveJSON format)
434 - Added "sat -tempinduct-baseonly -tempinduct-inductonly"
435 - Added "sat -stepsize" and "sat -tempinduct-step"
436 - Added "sat -show-regs -show-public -show-all"
437 - Added "write_json" (Native Yosys JSON format)
438 - Added "write_blif -attr"
439 - Added "dffinit"
440 - Added "chparam"
441 - Added "muxcover"
442 - Added "pmuxtree"
443 - Added memory_bram "make_outreg" feature
444 - Added "splice -wires"
445 - Added "dff2dffe -direct-match"
446 - Added simplemap $lut support
447 - Added "read_blif"
448 - Added "opt_share -share_all"
449 - Added "aigmap"
450 - Added "write_smt2 -mem -regs -wires"
451 - Added "memory -nordff"
452 - Added "write_smv"
453 - Added "synth -nordff -noalumacc"
454 - Added "rename -top new_name"
455 - Added "opt_const -clkinv"
456 - Added "synth -nofsm"
457 - Added "miter -assert"
458 - Added "read_verilog -noautowire"
459 - Added "read_verilog -nodpi"
460 - Added "tribuf"
461 - Added "lut2mux"
462 - Added "nlutmap"
463 - Added "qwp"
464 - Added "test_cell -noeval"
465 - Added "edgetypes"
466 - Added "equiv_struct"
467 - Added "equiv_purge"
468 - Added "equiv_mark"
469 - Added "equiv_add -try -cell"
470 - Added "singleton"
471 - Added "abc -g -luts"
472 - Added "torder"
473 - Added "write_blif -cname"
474 - Added "submod -copy"
475 - Added "dffsr2dff"
476 - Added "stat -liberty"
477
478 * Synthesis metacommands
479 - Various improvements in synth_xilinx
480 - Added synth_ice40 and synth_greenpak4
481 - Added "prep" metacommand for "synthesis lite"
482
483 * Cell library changes
484 - Added cell types to "help" system
485 - Added $meminit cell type
486 - Added $assume cell type
487 - Added $_MUX4_, $_MUX8_, and $_MUX16_ cells
488 - Added $tribuf and $_TBUF_ cell types
489 - Added read-enable to memory model
490
491 * YosysJS
492 - Various improvements in emscripten build
493 - Added alternative webworker-based JS API
494 - Added a few example applications
495
496
497 Yosys 0.4 .. Yosys 0.5
498 ----------------------
499
500 * API changes
501 - Added log_warning()
502 - Added eval_select_args() and eval_select_op()
503 - Added cell->known(), cell->input(portname), cell->output(portname)
504 - Skip blackbox modules in design->selected_modules()
505 - Replaced std::map<> and std::set<> with dict<> and pool<>
506 - New SigSpec::extend() is what used to be SigSpec::extend_u0()
507 - Added YS_OVERRIDE, YS_FINAL, YS_ATTRIBUTE, YS_NORETURN
508
509 * Cell library changes
510 - Added flip-flops with enable ($dffe etc.)
511 - Added $equiv cells for equivalence checking framework
512
513 * Various
514 - Updated ABC to hg rev 61ad5f908c03
515 - Added clock domain partitioning to ABC pass
516 - Improved plugin building (see "yosys-config --build")
517 - Added ENABLE_NDEBUG Makefile flag for high-performance builds
518 - Added "yosys -d", "yosys -L" and other driver improvements
519 - Added support for multi-bit (array) cell ports to "write_edif"
520 - Now printing most output to stdout, not stderr
521 - Added "onehot" attribute (set by "fsm_map")
522 - Various performance improvements
523 - Vastly improved Xilinx flow
524 - Added "make unsintall"
525
526 * Equivalence checking
527 - Added equivalence checking commands:
528 equiv_make equiv_simple equiv_status
529 equiv_induct equiv_miter
530 equiv_add equiv_remove
531
532 * Block RAM support:
533 - Added "memory_bram" command
534 - Added BRAM support to Xilinx flow
535
536 * Other New Commands and Options
537 - Added "dff2dffe"
538 - Added "fsm -encfile"
539 - Added "dfflibmap -prepare"
540 - Added "write_blid -unbuf -undef -blackbox"
541 - Added "write_smt2" for writing SMT-LIBv2 files
542 - Added "test_cell -w -muxdiv"
543 - Added "select -read"
544
545
546 Yosys 0.3.0 .. Yosys 0.4
547 ------------------------
548
549 * Platform Support
550 - Added support for mxe-based cross-builds for win32
551 - Added sourcecode-export as VisualStudio project
552 - Added experimental EMCC (JavaScript) support
553
554 * Verilog Frontend
555 - Added -sv option for SystemVerilog (and automatic *.sv file support)
556 - Added support for real-valued constants and constant expressions
557 - Added support for non-standard "via_celltype" attribute on task/func
558 - Added support for non-standard "module mod_name(...);" syntax
559 - Added support for non-standard """ macro bodies
560 - Added support for array with more than one dimension
561 - Added support for $readmemh and $readmemb
562 - Added support for DPI functions
563
564 * Changes in internal cell library
565 - Added $shift and $shiftx cell types
566 - Added $alu, $lcu, $fa and $macc cell types
567 - Removed $bu0 and $safe_pmux cell types
568 - $mem/$memwr WR_EN input is now a per-data-bit enable signal
569 - Added $_NAND_ $_NOR_ $_XNOR_ $_AOI3_ $_OAI3_ $_AOI4_ $_OAI4_
570 - Renamed ports of $lut cells (from I->O to A->Y)
571 - Renamed $_INV_ to $_NOT_
572
573 * Changes for simple synthesis flows
574 - There is now a "synth" command with a recommended default script
575 - Many improvements in synthesis of arithmetic functions to gates
576 - Multipliers and adders with many operands are using carry-save adder trees
577 - Remaining adders are now implemented using Brent-Kung carry look-ahead adders
578 - Various new high-level optimizations on RTL netlist
579 - Various improvements in FSM optimization
580 - Updated ABC to hg 5b5af75f1dda (from 2014-11-07)
581
582 * Changes in internal APIs and RTLIL
583 - Added log_id() and log_cell() helper functions
584 - Added function-like cell creation helpers
585 - Added GetSize() function (like .size() but with int)
586 - Major refactoring of RTLIL::Module and related classes
587 - Major refactoring of RTLIL::SigSpec and related classes
588 - Now RTLIL::IdString is essentially an int
589 - Added macros for code coverage counters
590 - Added some Makefile magic for pretty make logs
591 - Added "kernel/yosys.h" with all the core definitions
592 - Changed a lot of code from FILE* to c++ streams
593 - Added RTLIL::Monitor API and "trace" command
594 - Added "Yosys" C++ namespace
595
596 * Changes relevant to SAT solving
597 - Added ezSAT::keep_cnf() and ezSAT::non_incremental()
598 - Added native ezSAT support for vector shift ops
599 - Updated MiniSAT to git 37dc6c67e2 (from 2013-09-25)
600
601 * New commands (or large improvements to commands)
602 - Added "synth" command with default script
603 - Added "share" (finally some real resource sharing)
604 - Added "memory_share" (reduce number of ports on memories)
605 - Added "wreduce" and "alumacc" commands
606 - Added "opt -keepdc -fine -full -fast"
607 - Added some "test_*" commands
608
609 * Various other changes
610 - Added %D and %c select operators
611 - Added support for labels in yosys scripts
612 - Added support for here-documents in yosys scripts
613 - Support "+/" prefix for files from proc_share_dir
614 - Added "autoidx" statement to ilang language
615 - Switched from "yosys-svgviewer" to "xdot"
616 - Renamed "stdcells.v" to "techmap.v"
617 - Various bug fixes and small improvements
618 - Improved welcome and bye messages
619
620
621 Yosys 0.2.0 .. Yosys 0.3.0
622 --------------------------
623
624 * Driver program and overall behavior:
625 - Added "design -push" and "design -pop"
626 - Added "tee" command for redirecting log output
627
628 * Changes in the internal cell library:
629 - Added $dlatchsr and $_DLATCHSR_???_ cell types
630
631 * Improvements in Verilog frontend:
632 - Improved support for const functions (case, always, repeat)
633 - The generate..endgenerate keywords are now optional
634 - Added support for arrays of module instances
635 - Added support for "`default_nettype" directive
636 - Added support for "`line" directive
637
638 * Other front- and back-ends:
639 - Various changes to "write_blif" options
640 - Various improvements in EDIF backend
641 - Added "vhdl2verilog" pseudo-front-end
642 - Added "verific" pseudo-front-end
643
644 * Improvements in technology mapping:
645 - Added support for recursive techmap
646 - Added CONSTMSK and CONSTVAL features to techmap
647 - Added _TECHMAP_CONNMAP_*_ feature to techmap
648 - Added _TECHMAP_REPLACE_ feature to techmap
649 - Added "connwrappers" command for wrap-extract-unwrap method
650 - Added "extract -map %<design_name>" feature
651 - Added "extract -ignore_param ..." and "extract -ignore_parameters"
652 - Added "techmap -max_iter" option
653
654 * Improvements to "eval" and "sat" framework:
655 - Now include a copy of Minisat (with build fixes applied)
656 - Switched to Minisat::SimpSolver as SAT back-end
657 - Added "sat -dump_vcd" feature
658 - Added "sat -dump_cnf" feature
659 - Added "sat -initsteps <N>" feature
660 - Added "freduce -stop <N>" feature
661 - Added "freduce -dump <prefix>" feature
662
663 * Integration with ABC:
664 - Updated ABC rev to 7600ffb9340c
665
666 * Improvements in the internal APIs:
667 - Added RTLIL::Module::add... helper methods
668 - Various build fixes for OSX (Darwin) and OpenBSD
669
670
671 Yosys 0.1.0 .. Yosys 0.2.0
672 --------------------------
673
674 * Changes to the driver program:
675 - Added "yosys -h" and "yosys -H"
676 - Added support for backslash line continuation in scripts
677 - Added support for #-comments in same line as command
678 - Added "echo" and "log" commands
679
680 * Improvements in Verilog frontend:
681 - Added support for local registers in named blocks
682 - Added support for "case" in "generate" blocks
683 - Added support for $clog2 system function
684 - Added support for basic SystemVerilog assert statements
685 - Added preprocessor support for macro arguments
686 - Added preprocessor support for `elsif statement
687 - Added "verilog_defaults" command
688 - Added read_verilog -icells option
689 - Added support for constant sizes from parameters
690 - Added "read_verilog -setattr"
691 - Added support for function returning 'integer'
692 - Added limited support for function calls in parameter values
693 - Added "read_verilog -defer" to suppress evaluation of modules with default parameters
694
695 * Other front- and back-ends:
696 - Added BTOR backend
697 - Added Liberty frontend
698
699 * Improvements in technology mapping:
700 - The "dfflibmap" command now strongly prefers solutions with
701 no inverters in clock paths
702 - The "dfflibmap" command now prefers cells with smaller area
703 - Added support for multiple -map options to techmap
704 - Added "dfflibmap" support for //-comments in liberty files
705 - Added "memory_unpack" command to revert "memory_collect"
706 - Added standard techmap rule "techmap -share_map pmux2mux.v"
707 - Added "iopadmap -bits"
708 - Added "setundef" command
709 - Added "hilomap" command
710
711 * Changes in the internal cell library:
712 - Major rewrite of simlib.v for better compatibility with other tools
713 - Added PRIORITY parameter to $memwr cells
714 - Added TRANSPARENT parameter to $memrd cells
715 - Added RD_TRANSPARENT parameter to $mem cells
716 - Added $bu0 cell (always 0-extend, even undef MSB)
717 - Added $assert cell type
718 - Added $slice and $concat cell types
719
720 * Integration with ABC:
721 - Updated ABC to hg rev 2058c8ccea68
722 - Tighter integration of ABC build with Yosys build. The make
723 targets 'make abc' and 'make install-abc' are now obsolete.
724 - Added support for passing FFs from one clock domain through ABC
725 - Now always use BLIF as exchange format with ABC
726 - Added support for "abc -script +<command_sequence>"
727 - Improved standard ABC recipe
728 - Added support for "keep" attribute to abc command
729 - Added "abc -dff / -clk / -keepff" options
730
731 * Improvements to "eval" and "sat" framework:
732 - Added support for "0" and "~0" in right-hand side -set expressions
733 - Added "eval -set-undef" and "eval -table"
734 - Added "sat -set-init" and "sat -set-init-*" for sequential problems
735 - Added undef support to SAT solver, incl. various new "sat" options
736 - Added correct support for === and !== for "eval" and "sat"
737 - Added "sat -tempinduct" (default -seq is now non-induction sequential)
738 - Added "sat -prove-asserts"
739 - Complete rewrite of the 'freduce' command
740 - Added "miter" command
741 - Added "sat -show-inputs" and "sat -show-outputs"
742 - Added "sat -ignore_unknown_cells" (now produce an error by default)
743 - Added "sat -falsify"
744 - Now "sat -verify" and "sat -falsify" can also be used without "-prove"
745 - Added "expose" command
746 - Added support for @<sel_name> to sat and eval signal expressions
747
748 * Changes in the 'make test' framework and auxiliary test tools:
749 - Added autotest.sh -p and -f options
750 - Replaced autotest.sh ISIM support with XSIM support
751 - Added test cases for SAT framework
752
753 * Added "abbreviated IDs":
754 - Now $<something>$foo can be abbreviated as $foo.
755 - Usually this last part is a unique id (from RTLIL::autoidx)
756 - This abbreviated IDs are now also used in "show" output
757
758 * Other changes to selection framework:
759 - Now */ is optional in */<mode>:<arg> expressions
760 - Added "select -assert-none" and "select -assert-any"
761 - Added support for matching modules by attribute (A:<expr>)
762 - Added "select -none"
763 - Added support for r:<expr> pattern for matching cell parameters
764 - Added support for !=, <, <=, >=, > for attribute and parameter matching
765 - Added support for %s for selecting sub-modules
766 - Added support for %m for expanding selections to whole modules
767 - Added support for i:*, o:* and x:* pattern for selecting module ports
768 - Added support for s:<expr> pattern for matching wire width
769 - Added support for %a operation to select wire aliases
770
771 * Various other changes to commands and options:
772 - The "ls" command now supports wildcards
773 - Added "show -pause" and "show -format dot"
774 - Added "show -color" support for cells
775 - Added "show -label" and "show -notitle"
776 - Added "dump -m" and "dump -n"
777 - Added "history" command
778 - Added "rename -hide"
779 - Added "connect" command
780 - Added "splitnets -driver"
781 - Added "opt_const -mux_undef"
782 - Added "opt_const -mux_bool"
783 - Added "opt_const -undriven"
784 - Added "opt -mux_undef -mux_bool -undriven -purge"
785 - Added "hierarchy -libdir"
786 - Added "hierarchy -purge_lib" (by default now do not remove lib cells)
787 - Added "delete" command
788 - Added "dump -append"
789 - Added "setattr" and "setparam" commands
790 - Added "design -stash/-copy-from/-copy-to"
791 - Added "copy" command
792 - Added "splice" command
793