Merge pull request #2186 from YosysHQ/mwk/dfflegalize
[yosys.git] / CHANGELOG
1
2 List of major changes and improvements between releases
3 =======================================================
4
5
6 Yosys 0.9 .. Yosys 0.9-dev
7 --------------------------
8
9 * Various
10 - Added "write_xaiger" backend
11 - Added "abc9" pass for timing-aware techmapping (experimental, FPGA only)
12 - Added "synth_xilinx -abc9" (experimental)
13 - Added "synth_ice40 -abc9" (experimental)
14 - Added "synth -abc9" (experimental)
15 - Added "script -scriptwire"
16 - Added "synth_xilinx -nocarry"
17 - Added "synth_xilinx -nowidelut"
18 - Added "synth_ecp5 -nowidelut"
19 - "synth_xilinx" to now infer wide multiplexers (-widemux <min> to enable)
20 - Renamed labels/options in synth_ice40 (e.g. dram -> map_lutram; -nodram -> -nolutram)
21 - Renamed labels/options in synth_ecp5 (e.g. dram -> map_lutram; -nodram -> -nolutram)
22 - Renamed labels in synth_intel (e.g. bram -> map_bram)
23 - Renamed labels/options in synth_xilinx (e.g. dram -> map_lutram; -nodram -> -nolutram)
24 - Added automatic gzip decompression for frontends
25 - Added $_NMUX_ cell type
26 - Added automatic gzip compression (based on filename extension) for backends
27 - Improve attribute and parameter encoding in JSON to avoid ambiguities between
28 bit vectors and strings containing [01xz]*
29 - Added "clkbufmap" pass
30 - Added "extractinv" pass and "invertible_pin" attribute
31 - Added "synth_xilinx -family xc6s" for Spartan 6 support (experimental)
32 - Added "synth_xilinx -ise" (experimental)
33 - Added "synth_xilinx -iopad"
34 - "synth_xilinx" now automatically inserts clock buffers (add -noclkbuf to disable)
35 - Improvements in pmgen: subpattern and recursive matches
36 - Added "opt_share" pass, run as part of "opt -full"
37 - Added "ice40_wrapcarry" to encapsulate SB_LUT+SB_CARRY pairs for techmapping
38 - Removed "ice40_unlut"
39 - Improvements in pmgen: slices, choices, define, generate
40 - Added "xilinx_srl" for Xilinx shift register extraction
41 - Removed "shregmap -tech xilinx" (superseded by "xilinx_srl")
42 - Added "_TECHMAP_WIREINIT_*_" attribute and "_TECHMAP_REMOVEINIT_*_" wire for "techmap" pass
43 - Added "-match-init" option to "dff2dffs" pass
44 - Added "techmap_autopurge" support to techmap
45 - Added "add -mod <modname[s]>"
46 - Added +/mul2dsp.v for decomposing wide multipliers to custom-sized ones
47 - Added "ice40_dsp" for Lattice iCE40 DSP packing
48 - Added "xilinx_dsp" for Xilinx DSP packing
49 - "synth_xilinx" to now infer DSP blocks (-nodsp to disable)
50 - "synth_ecp5" to now infer DSP blocks (-nodsp to disable, experimental)
51 - "synth_ice40 -dsp" to infer DSP blocks
52 - Added latch support to synth_xilinx
53 - Added support for flip-flops with synchronous reset to synth_xilinx
54 - Added support for flip-flops with reset and enable to synth_xilinx
55 - Added "check -mapped"
56 - Added checking of SystemVerilog always block types (always_comb,
57 always_latch and always_ff)
58 - Added support for SystemVerilog wildcard port connections (.*)
59 - Added "xilinx_dffopt" pass
60 - Added "scratchpad" pass
61 - Added "synth_xilinx -dff"
62 - Improved support of $readmem[hb] Memory Content File inclusion
63 - Added "opt_lut_ins" pass
64 - Added "logger" pass
65 - Removed "dffsr2dff" (use opt_rmdff instead)
66 - Added "design -delete"
67 - Added "select -unset"
68 - Use YosysHQ/abc instead of upstream berkeley-abc/abc
69 - Added $divfloor and $modfloor cells
70 - Added $adffe, $dffsre, $sdff, $sdffe, $sdffce, $adlatch cells
71 - Added "dfflegalize" pass
72
73 Yosys 0.8 .. Yosys 0.9
74 ----------------------
75
76 * Various
77 - Many bugfixes and small improvements
78 - Added support for SystemVerilog interfaces and modports
79 - Added "write_edif -attrprop"
80 - Added "opt_lut" pass
81 - Added "gate2lut.v" techmap rule
82 - Added "rename -src"
83 - Added "equiv_opt" pass
84 - Added "flowmap" LUT mapping pass
85 - Added "rename -wire" to rename cells based on the wires they drive
86 - Added "bugpoint" for creating minimised testcases
87 - Added "write_edif -gndvccy"
88 - "write_verilog" to escape Verilog keywords
89 - Fixed sign handling of real constants
90 - "write_verilog" to write initial statement for initial flop state
91 - Added pmgen pattern matcher generator
92 - Fixed opt_rmdff handling of $_DFFSR_???_ and $_DLATCHSR_???_
93 - Added "setundef -params" to replace undefined cell parameters
94 - Renamed "yosys -D" to "yosys -U", added "yosys -D" to set Verilog defines
95 - Fixed handling of defparam when default_nettype is none
96 - Fixed "wreduce" flipflop handling
97 - Fixed FIRRTL to Verilog process instance subfield assignment
98 - Added "write_verilog -siminit"
99 - Several fixes and improvements for mem2reg memories
100 - Fixed handling of task output ports in clocked always blocks
101 - Improved handling of and-with-1 and or-with-0 in "opt_expr"
102 - Added "read_aiger" frontend
103 - Added "mutate" pass
104 - Added "hdlname" attribute
105 - Added "rename -output"
106 - Added "read_ilang -lib"
107 - Improved "proc" full_case detection and handling
108 - Added "whitebox" and "lib_whitebox" attributes
109 - Added "read_verilog -nowb", "flatten -wb" and "wbflip"
110 - Added Python bindings and support for Python plug-ins
111 - Added "pmux2shiftx"
112 - Added log_debug framework for reduced default verbosity
113 - Improved "opt_expr" and "opt_clean" handling of (partially) undriven and/or unused wires
114 - Added "peepopt" peephole optimisation pass using pmgen
115 - Added approximate support for SystemVerilog "var" keyword
116 - Added parsing of "specify" blocks into $specrule and $specify[23]
117 - Added support for attributes on parameters and localparams
118 - Added support for parsing attributes on port connections
119 - Added "wreduce -keepdc"
120 - Added support for optimising $dffe and $_DFFE_* cells in "opt_rmdff"
121 - Added Verilog wand/wor wire type support
122 - Added support for elaboration system tasks
123 - Added "muxcover -mux{4,8,16}=<cost>"
124 - Added "muxcover -dmux=<cost>"
125 - Added "muxcover -nopartial"
126 - Added "muxpack" pass
127 - Added "pmux2shiftx -norange"
128 - Added support for "~" in filename parsing
129 - Added "read_verilog -pwires" feature to turn parameters into wires
130 - Fixed sign extension of unsized constants with 'bx and 'bz MSB
131 - Fixed genvar to be a signed type
132 - Added support for attributes on case rules
133 - Added "upto" and "offset" to JSON frontend and backend
134 - Several liberty file parser improvements
135 - Fixed handling of more complex BRAM patterns
136 - Add "write_aiger -I -O -B"
137
138 * Formal Verification
139 - Added $changed support to read_verilog
140 - Added "read_verilog -noassert -noassume -assert-assumes"
141 - Added btor ops for $mul, $div, $mod and $concat
142 - Added yosys-smtbmc support for btor witnesses
143 - Added "supercover" pass
144 - Fixed $global_clock handling vs autowire
145 - Added $dffsr support to "async2sync"
146 - Added "fmcombine" pass
147 - Added memory init support in "write_btor"
148 - Added "cutpoint" pass
149 - Changed "ne" to "neq" in btor2 output
150 - Added support for SVA "final" keyword
151 - Added "fmcombine -initeq -anyeq"
152 - Added timescale and generated-by header to yosys-smtbmc vcd output
153 - Improved BTOR2 handling of undriven wires
154
155 * Verific support
156 - Enabled Verific flags vhdl_support_variable_slice and veri_elaborate_top_level_modules_having_interface_ports
157 - Improved support for asymmetric memories
158 - Added "verific -chparam"
159 - Fixed "verific -extnets" for more complex situations
160 - Added "read -verific" and "read -noverific"
161 - Added "hierarchy -chparam"
162
163 * New back-ends
164 - Added initial Anlogic support
165 - Added initial SmartFusion2 and IGLOO2 support
166
167 * ECP5 support
168 - Added "synth_ecp5 -nowidelut"
169 - Added BRAM inference support to "synth_ecp5"
170 - Added support for transforming Diamond IO and flipflop primitives
171
172 * iCE40 support
173 - Added "ice40_unlut" pass
174 - Added "synth_ice40 -relut"
175 - Added "synth_ice40 -noabc"
176 - Added "synth_ice40 -dffe_min_ce_use"
177 - Added DSP inference support using pmgen
178 - Added support for initialising BRAM primitives from a file
179 - Added iCE40 Ultra RGB LED driver cells
180
181 * Xilinx support
182 - Use "write_edif -pvector bra" for Xilinx EDIF files
183 - Fixes for VPR place and route support with "synth_xilinx"
184 - Added more cell simulation models
185 - Added "synth_xilinx -family"
186 - Added "stat -tech xilinx" to estimate logic cell usage
187 - Added "synth_xilinx -nocarry"
188 - Added "synth_xilinx -nowidelut"
189 - "synth_xilinx" to now infer hard shift registers (-nosrl to disable)
190 - Added support for mapping RAM32X1D
191
192 Yosys 0.7 .. Yosys 0.8
193 ----------------------
194
195 * Various
196 - Many bugfixes and small improvements
197 - Strip debug symbols from installed binary
198 - Replace -ignore_redef with -[no]overwrite in front-ends
199 - Added write_verilog hex dump support, add -nohex option
200 - Added "write_verilog -decimal"
201 - Added "scc -set_attr"
202 - Added "verilog_defines" command
203 - Remember defines from one read_verilog to next
204 - Added support for hierarchical defparam
205 - Added FIRRTL back-end
206 - Improved ABC default scripts
207 - Added "design -reset-vlog"
208 - Added "yosys -W regex", "yosys -w regex", and "yosys -e regex"
209 - Added Verilog $rtoi and $itor support
210 - Added "check -initdrv"
211 - Added "read_blif -wideports"
212 - Added support for SystemVerilog "++" and "--" operators
213 - Added support for SystemVerilog unique, unique0, and priority case
214 - Added "write_edif" options for edif "flavors"
215 - Added support for resetall compiler directive
216 - Added simple C beck-end (bitwise combinatorical only atm)
217 - Added $_ANDNOT_ and $_ORNOT_ cell types
218 - Added cell library aliases to "abc -g"
219 - Added "setundef -anyseq"
220 - Added "chtype" command
221 - Added "design -import"
222 - Added "write_table" command
223 - Added "read_json" command
224 - Added "sim" command
225 - Added "extract_fa" and "extract_reduce" commands
226 - Added "extract_counter" command
227 - Added "opt_demorgan" command
228 - Added support for $size and $bits SystemVerilog functions
229 - Added "blackbox" command
230 - Added "ltp" command
231 - Added support for editline as replacement for readline
232 - Added warnings for driver-driver conflicts between FFs (and other cells) and constants
233 - Added "yosys -E" for creating Makefile dependencies files
234 - Added "synth -noshare"
235 - Added "memory_nordff"
236 - Added "setundef -undef -expose -anyconst"
237 - Added "expose -input"
238 - Added specify/specparam parser support (simply ignore them)
239 - Added "write_blif -inames -iattr"
240 - Added "hierarchy -simcheck"
241 - Added an option to statically link abc into yosys
242 - Added protobuf back-end
243 - Added BLIF parsing support for .conn and .cname
244 - Added read_verilog error checking for reg/wire/logic misuse
245 - Added "make coverage" and ENABLE_GCOV build option
246
247 * Changes in Yosys APIs
248 - Added ConstEval defaultval feature
249 - Added {get,set}_src_attribute() methods on RTLIL::AttrObject
250 - Added SigSpec::is_fully_ones() and Const::is_fully_ones()
251 - Added log_file_warning() and log_file_error() functions
252
253 * Formal Verification
254 - Added "write_aiger"
255 - Added "yosys-smtbmc --aig"
256 - Added "always <positive_int>" to .smtc format
257 - Added $cover cell type and support for cover properties
258 - Added $fair/$live cell type and support for liveness properties
259 - Added smtbmc support for memory vcd dumping
260 - Added "chformal" command
261 - Added "write_smt2 -stbv" and "write_smt2 -stdt"
262 - Fix equiv_simple, old behavior now available with "equiv_simple -short"
263 - Change to Yices2 as default SMT solver (it is GPL now)
264 - Added "yosys-smtbmc --presat" (now default in SymbiYosys)
265 - Added "yosys-smtbmc --smtc-init --smtc-top --noinit"
266 - Added a brand new "write_btor" command for BTOR2
267 - Added clk2fflogic memory support and other improvements
268 - Added "async memory write" support to write_smt2
269 - Simulate clock toggling in yosys-smtbmc VCD output
270 - Added $allseq/$allconst cells for EA-solving
271 - Make -nordff the default in "prep"
272 - Added (* gclk *) attribute
273 - Added "async2sync" pass for single-clock designs with async resets
274
275 * Verific support
276 - Many improvements in Verific front-end
277 - Added proper handling of concurent SVA properties
278 - Map "const" and "rand const" to $anyseq/$anyconst
279 - Added "verific -import -flatten" and "verific -import -extnets"
280 - Added "verific -vlog-incdir -vlog-define -vlog-libdir"
281 - Remove PSL support (because PSL has been removed in upstream Verific)
282 - Improve integration with "hierarchy" command design elaboration
283 - Added YOSYS_NOVERIFIC for running non-verific test cases with verific bin
284 - Added simpilied "read" command that automatically uses verific if available
285 - Added "verific -set-<severity> <msg_id>.."
286 - Added "verific -work <libname>"
287
288 * New back-ends
289 - Added initial Coolrunner-II support
290 - Added initial eASIC support
291 - Added initial ECP5 support
292
293 * GreenPAK Support
294 - Added support for GP_DLATCH, GP_SPI, GP_DCMx, GP_COUNTx, etc.
295
296 * iCE40 Support
297 - Add "synth_ice40 -vpr"
298 - Add "synth_ice40 -nodffe"
299 - Add "synth_ice40 -json"
300 - Add Support for UltraPlus cells
301
302 * MAX10 and Cyclone IV Support
303 - Added initial version of metacommand "synth_intel".
304 - Improved write_verilog command to produce VQM netlist for Quartus Prime.
305 - Added support for MAX10 FPGA family synthesis.
306 - Added support for Cyclone IV family synthesis.
307 - Added example of implementation for DE2i-150 board.
308 - Added example of implementation for MAX10 development kit.
309 - Added LFSR example from Asic World.
310 - Added "dffinit -highlow" for mapping to Intel primitives
311
312
313 Yosys 0.6 .. Yosys 0.7
314 ----------------------
315
316 * Various
317 - Added "yosys -D" feature
318 - Added support for installed plugins in $(DATDIR)/plugins/
319 - Renamed opt_const to opt_expr
320 - Renamed opt_share to opt_merge
321 - Added "prep -flatten" and "synth -flatten"
322 - Added "prep -auto-top" and "synth -auto-top"
323 - Using "mfs" and "lutpack" in ABC lut mapping
324 - Support for abstract modules in chparam
325 - Cleanup abstract modules at end of "hierarchy -top"
326 - Added tristate buffer support to iopadmap
327 - Added opt_expr support for div/mod by power-of-two
328 - Added "select -assert-min <N> -assert-max <N>"
329 - Added "attrmvcp" pass
330 - Added "attrmap" command
331 - Added "tee +INT -INT"
332 - Added "zinit" pass
333 - Added "setparam -type"
334 - Added "shregmap" pass
335 - Added "setundef -init"
336 - Added "nlutmap -assert"
337 - Added $sop cell type and "abc -sop -I <num> -P <num>"
338 - Added "dc2" to default ABC scripts
339 - Added "deminout"
340 - Added "insbuf" command
341 - Added "prep -nomem"
342 - Added "opt_rmdff -keepdc"
343 - Added "prep -nokeepdc"
344 - Added initial version of "synth_gowin"
345 - Added "fsm_expand -full"
346 - Added support for fsm_encoding="user"
347 - Many improvements in GreenPAK4 support
348 - Added black box modules for all Xilinx 7-series lib cells
349 - Added synth_ice40 support for latches via logic loops
350 - Fixed ice40_opt lut unmapping, added "ice40_opt -unlut"
351
352 * Build System
353 - Added ABCEXTERNAL and ABCURL make variables
354 - Added BINDIR, LIBDIR, and DATDIR make variables
355 - Added PKG_CONFIG make variable
356 - Added SEED make variable (for "make test")
357 - Added YOSYS_VER_STR make variable
358 - Updated min GCC requirement to GCC 4.8
359 - Updated required Bison version to Bison 3.x
360
361 * Internal APIs
362 - Added ast.h to exported headers
363 - Added ScriptPass helper class for script-like passes
364 - Added CellEdgesDatabase API
365
366 * Front-ends and Back-ends
367 - Added filename glob support to all front-ends
368 - Added avail (black-box) module params to ilang format
369 - Added $display %m support
370 - Added support for $stop Verilog system task
371 - Added support for SystemVerilog packages
372 - Fixed procedural assignments to non-unique lvalues, e.g. {y,y} = {a,b}
373 - Added support for "active high" and "active low" latches in read_blif and write_blif
374 - Use init value "2" for all uninitialized FFs in BLIF back-end
375 - Added "read_blif -sop"
376 - Added "write_blif -noalias"
377 - Added various write_blif options for VTR support
378 - write_json: also write module attributes.
379 - Added "write_verilog -nodec -nostr -defparam"
380 - Added "read_verilog -norestrict -assume-asserts"
381 - Added support for bus interfaces to "read_liberty -lib"
382 - Added liberty parser support for types within cell decls
383 - Added "write_verilog -renameprefix -v"
384 - Added "write_edif -nogndvcc"
385
386 * Formal Verification
387 - Support for hierarchical designs in smt2 back-end
388 - Yosys-smtbmc: Support for hierarchical VCD dumping
389 - Added $initstate cell type and vlog function
390 - Added $anyconst and $anyseq cell types and vlog functions
391 - Added printing of code loc of failed asserts to yosys-smtbmc
392 - Added memory_memx pass, "memory -memx", and "prep -memx"
393 - Added "proc_mux -ifx"
394 - Added "yosys-smtbmc -g"
395 - Deprecated "write_smt2 -regs" (by default on now)
396 - Made "write_smt2 -bv -mem" default, added "write_smt2 -nobv -nomem"
397 - Added support for memories to smtio.py
398 - Added "yosys-smtbmc --dump-vlogtb"
399 - Added "yosys-smtbmc --smtc --dump-smtc"
400 - Added "yosys-smtbmc --dump-all"
401 - Added assertpmux command
402 - Added "yosys-smtbmc --unroll"
403 - Added $past, $stable, $rose, $fell SVA functions
404 - Added "yosys-smtbmc --noinfo and --dummy"
405 - Added "yosys-smtbmc --noincr"
406 - Added "yosys-smtbmc --cex <filename>"
407 - Added $ff and $_FF_ cell types
408 - Added $global_clock verilog syntax support for creating $ff cells
409 - Added clk2fflogic
410
411
412 Yosys 0.5 .. Yosys 0.6
413 ----------------------
414
415 * Various
416 - Added Contributor Covenant Code of Conduct
417 - Various improvements in dict<> and pool<>
418 - Added hashlib::mfp and refactored SigMap
419 - Improved support for reals as module parameters
420 - Various improvements in SMT2 back-end
421 - Added "keep_hierarchy" attribute
422 - Verilog front-end: define `BLACKBOX in -lib mode
423 - Added API for converting internal cells to AIGs
424 - Added ENABLE_LIBYOSYS Makefile option
425 - Removed "techmap -share_map" (use "-map +/filename" instead)
426 - Switched all Python scripts to Python 3
427 - Added support for $display()/$write() and $finish() to Verilog front-end
428 - Added "yosys-smtbmc" formal verification flow
429 - Added options for clang sanitizers to Makefile
430
431 * New commands and options
432 - Added "scc -expect <N> -nofeedback"
433 - Added "proc_dlatch"
434 - Added "check"
435 - Added "select %xe %cie %coe %M %C %R"
436 - Added "sat -dump_json" (WaveJSON format)
437 - Added "sat -tempinduct-baseonly -tempinduct-inductonly"
438 - Added "sat -stepsize" and "sat -tempinduct-step"
439 - Added "sat -show-regs -show-public -show-all"
440 - Added "write_json" (Native Yosys JSON format)
441 - Added "write_blif -attr"
442 - Added "dffinit"
443 - Added "chparam"
444 - Added "muxcover"
445 - Added "pmuxtree"
446 - Added memory_bram "make_outreg" feature
447 - Added "splice -wires"
448 - Added "dff2dffe -direct-match"
449 - Added simplemap $lut support
450 - Added "read_blif"
451 - Added "opt_share -share_all"
452 - Added "aigmap"
453 - Added "write_smt2 -mem -regs -wires"
454 - Added "memory -nordff"
455 - Added "write_smv"
456 - Added "synth -nordff -noalumacc"
457 - Added "rename -top new_name"
458 - Added "opt_const -clkinv"
459 - Added "synth -nofsm"
460 - Added "miter -assert"
461 - Added "read_verilog -noautowire"
462 - Added "read_verilog -nodpi"
463 - Added "tribuf"
464 - Added "lut2mux"
465 - Added "nlutmap"
466 - Added "qwp"
467 - Added "test_cell -noeval"
468 - Added "edgetypes"
469 - Added "equiv_struct"
470 - Added "equiv_purge"
471 - Added "equiv_mark"
472 - Added "equiv_add -try -cell"
473 - Added "singleton"
474 - Added "abc -g -luts"
475 - Added "torder"
476 - Added "write_blif -cname"
477 - Added "submod -copy"
478 - Added "dffsr2dff"
479 - Added "stat -liberty"
480
481 * Synthesis metacommands
482 - Various improvements in synth_xilinx
483 - Added synth_ice40 and synth_greenpak4
484 - Added "prep" metacommand for "synthesis lite"
485
486 * Cell library changes
487 - Added cell types to "help" system
488 - Added $meminit cell type
489 - Added $assume cell type
490 - Added $_MUX4_, $_MUX8_, and $_MUX16_ cells
491 - Added $tribuf and $_TBUF_ cell types
492 - Added read-enable to memory model
493
494 * YosysJS
495 - Various improvements in emscripten build
496 - Added alternative webworker-based JS API
497 - Added a few example applications
498
499
500 Yosys 0.4 .. Yosys 0.5
501 ----------------------
502
503 * API changes
504 - Added log_warning()
505 - Added eval_select_args() and eval_select_op()
506 - Added cell->known(), cell->input(portname), cell->output(portname)
507 - Skip blackbox modules in design->selected_modules()
508 - Replaced std::map<> and std::set<> with dict<> and pool<>
509 - New SigSpec::extend() is what used to be SigSpec::extend_u0()
510 - Added YS_OVERRIDE, YS_FINAL, YS_ATTRIBUTE, YS_NORETURN
511
512 * Cell library changes
513 - Added flip-flops with enable ($dffe etc.)
514 - Added $equiv cells for equivalence checking framework
515
516 * Various
517 - Updated ABC to hg rev 61ad5f908c03
518 - Added clock domain partitioning to ABC pass
519 - Improved plugin building (see "yosys-config --build")
520 - Added ENABLE_NDEBUG Makefile flag for high-performance builds
521 - Added "yosys -d", "yosys -L" and other driver improvements
522 - Added support for multi-bit (array) cell ports to "write_edif"
523 - Now printing most output to stdout, not stderr
524 - Added "onehot" attribute (set by "fsm_map")
525 - Various performance improvements
526 - Vastly improved Xilinx flow
527 - Added "make unsintall"
528
529 * Equivalence checking
530 - Added equivalence checking commands:
531 equiv_make equiv_simple equiv_status
532 equiv_induct equiv_miter
533 equiv_add equiv_remove
534
535 * Block RAM support:
536 - Added "memory_bram" command
537 - Added BRAM support to Xilinx flow
538
539 * Other New Commands and Options
540 - Added "dff2dffe"
541 - Added "fsm -encfile"
542 - Added "dfflibmap -prepare"
543 - Added "write_blid -unbuf -undef -blackbox"
544 - Added "write_smt2" for writing SMT-LIBv2 files
545 - Added "test_cell -w -muxdiv"
546 - Added "select -read"
547
548
549 Yosys 0.3.0 .. Yosys 0.4
550 ------------------------
551
552 * Platform Support
553 - Added support for mxe-based cross-builds for win32
554 - Added sourcecode-export as VisualStudio project
555 - Added experimental EMCC (JavaScript) support
556
557 * Verilog Frontend
558 - Added -sv option for SystemVerilog (and automatic *.sv file support)
559 - Added support for real-valued constants and constant expressions
560 - Added support for non-standard "via_celltype" attribute on task/func
561 - Added support for non-standard "module mod_name(...);" syntax
562 - Added support for non-standard """ macro bodies
563 - Added support for array with more than one dimension
564 - Added support for $readmemh and $readmemb
565 - Added support for DPI functions
566
567 * Changes in internal cell library
568 - Added $shift and $shiftx cell types
569 - Added $alu, $lcu, $fa and $macc cell types
570 - Removed $bu0 and $safe_pmux cell types
571 - $mem/$memwr WR_EN input is now a per-data-bit enable signal
572 - Added $_NAND_ $_NOR_ $_XNOR_ $_AOI3_ $_OAI3_ $_AOI4_ $_OAI4_
573 - Renamed ports of $lut cells (from I->O to A->Y)
574 - Renamed $_INV_ to $_NOT_
575
576 * Changes for simple synthesis flows
577 - There is now a "synth" command with a recommended default script
578 - Many improvements in synthesis of arithmetic functions to gates
579 - Multipliers and adders with many operands are using carry-save adder trees
580 - Remaining adders are now implemented using Brent-Kung carry look-ahead adders
581 - Various new high-level optimizations on RTL netlist
582 - Various improvements in FSM optimization
583 - Updated ABC to hg 5b5af75f1dda (from 2014-11-07)
584
585 * Changes in internal APIs and RTLIL
586 - Added log_id() and log_cell() helper functions
587 - Added function-like cell creation helpers
588 - Added GetSize() function (like .size() but with int)
589 - Major refactoring of RTLIL::Module and related classes
590 - Major refactoring of RTLIL::SigSpec and related classes
591 - Now RTLIL::IdString is essentially an int
592 - Added macros for code coverage counters
593 - Added some Makefile magic for pretty make logs
594 - Added "kernel/yosys.h" with all the core definitions
595 - Changed a lot of code from FILE* to c++ streams
596 - Added RTLIL::Monitor API and "trace" command
597 - Added "Yosys" C++ namespace
598
599 * Changes relevant to SAT solving
600 - Added ezSAT::keep_cnf() and ezSAT::non_incremental()
601 - Added native ezSAT support for vector shift ops
602 - Updated MiniSAT to git 37dc6c67e2 (from 2013-09-25)
603
604 * New commands (or large improvements to commands)
605 - Added "synth" command with default script
606 - Added "share" (finally some real resource sharing)
607 - Added "memory_share" (reduce number of ports on memories)
608 - Added "wreduce" and "alumacc" commands
609 - Added "opt -keepdc -fine -full -fast"
610 - Added some "test_*" commands
611
612 * Various other changes
613 - Added %D and %c select operators
614 - Added support for labels in yosys scripts
615 - Added support for here-documents in yosys scripts
616 - Support "+/" prefix for files from proc_share_dir
617 - Added "autoidx" statement to ilang language
618 - Switched from "yosys-svgviewer" to "xdot"
619 - Renamed "stdcells.v" to "techmap.v"
620 - Various bug fixes and small improvements
621 - Improved welcome and bye messages
622
623
624 Yosys 0.2.0 .. Yosys 0.3.0
625 --------------------------
626
627 * Driver program and overall behavior:
628 - Added "design -push" and "design -pop"
629 - Added "tee" command for redirecting log output
630
631 * Changes in the internal cell library:
632 - Added $dlatchsr and $_DLATCHSR_???_ cell types
633
634 * Improvements in Verilog frontend:
635 - Improved support for const functions (case, always, repeat)
636 - The generate..endgenerate keywords are now optional
637 - Added support for arrays of module instances
638 - Added support for "`default_nettype" directive
639 - Added support for "`line" directive
640
641 * Other front- and back-ends:
642 - Various changes to "write_blif" options
643 - Various improvements in EDIF backend
644 - Added "vhdl2verilog" pseudo-front-end
645 - Added "verific" pseudo-front-end
646
647 * Improvements in technology mapping:
648 - Added support for recursive techmap
649 - Added CONSTMSK and CONSTVAL features to techmap
650 - Added _TECHMAP_CONNMAP_*_ feature to techmap
651 - Added _TECHMAP_REPLACE_ feature to techmap
652 - Added "connwrappers" command for wrap-extract-unwrap method
653 - Added "extract -map %<design_name>" feature
654 - Added "extract -ignore_param ..." and "extract -ignore_parameters"
655 - Added "techmap -max_iter" option
656
657 * Improvements to "eval" and "sat" framework:
658 - Now include a copy of Minisat (with build fixes applied)
659 - Switched to Minisat::SimpSolver as SAT back-end
660 - Added "sat -dump_vcd" feature
661 - Added "sat -dump_cnf" feature
662 - Added "sat -initsteps <N>" feature
663 - Added "freduce -stop <N>" feature
664 - Added "freduce -dump <prefix>" feature
665
666 * Integration with ABC:
667 - Updated ABC rev to 7600ffb9340c
668
669 * Improvements in the internal APIs:
670 - Added RTLIL::Module::add... helper methods
671 - Various build fixes for OSX (Darwin) and OpenBSD
672
673
674 Yosys 0.1.0 .. Yosys 0.2.0
675 --------------------------
676
677 * Changes to the driver program:
678 - Added "yosys -h" and "yosys -H"
679 - Added support for backslash line continuation in scripts
680 - Added support for #-comments in same line as command
681 - Added "echo" and "log" commands
682
683 * Improvements in Verilog frontend:
684 - Added support for local registers in named blocks
685 - Added support for "case" in "generate" blocks
686 - Added support for $clog2 system function
687 - Added support for basic SystemVerilog assert statements
688 - Added preprocessor support for macro arguments
689 - Added preprocessor support for `elsif statement
690 - Added "verilog_defaults" command
691 - Added read_verilog -icells option
692 - Added support for constant sizes from parameters
693 - Added "read_verilog -setattr"
694 - Added support for function returning 'integer'
695 - Added limited support for function calls in parameter values
696 - Added "read_verilog -defer" to suppress evaluation of modules with default parameters
697
698 * Other front- and back-ends:
699 - Added BTOR backend
700 - Added Liberty frontend
701
702 * Improvements in technology mapping:
703 - The "dfflibmap" command now strongly prefers solutions with
704 no inverters in clock paths
705 - The "dfflibmap" command now prefers cells with smaller area
706 - Added support for multiple -map options to techmap
707 - Added "dfflibmap" support for //-comments in liberty files
708 - Added "memory_unpack" command to revert "memory_collect"
709 - Added standard techmap rule "techmap -share_map pmux2mux.v"
710 - Added "iopadmap -bits"
711 - Added "setundef" command
712 - Added "hilomap" command
713
714 * Changes in the internal cell library:
715 - Major rewrite of simlib.v for better compatibility with other tools
716 - Added PRIORITY parameter to $memwr cells
717 - Added TRANSPARENT parameter to $memrd cells
718 - Added RD_TRANSPARENT parameter to $mem cells
719 - Added $bu0 cell (always 0-extend, even undef MSB)
720 - Added $assert cell type
721 - Added $slice and $concat cell types
722
723 * Integration with ABC:
724 - Updated ABC to hg rev 2058c8ccea68
725 - Tighter integration of ABC build with Yosys build. The make
726 targets 'make abc' and 'make install-abc' are now obsolete.
727 - Added support for passing FFs from one clock domain through ABC
728 - Now always use BLIF as exchange format with ABC
729 - Added support for "abc -script +<command_sequence>"
730 - Improved standard ABC recipe
731 - Added support for "keep" attribute to abc command
732 - Added "abc -dff / -clk / -keepff" options
733
734 * Improvements to "eval" and "sat" framework:
735 - Added support for "0" and "~0" in right-hand side -set expressions
736 - Added "eval -set-undef" and "eval -table"
737 - Added "sat -set-init" and "sat -set-init-*" for sequential problems
738 - Added undef support to SAT solver, incl. various new "sat" options
739 - Added correct support for === and !== for "eval" and "sat"
740 - Added "sat -tempinduct" (default -seq is now non-induction sequential)
741 - Added "sat -prove-asserts"
742 - Complete rewrite of the 'freduce' command
743 - Added "miter" command
744 - Added "sat -show-inputs" and "sat -show-outputs"
745 - Added "sat -ignore_unknown_cells" (now produce an error by default)
746 - Added "sat -falsify"
747 - Now "sat -verify" and "sat -falsify" can also be used without "-prove"
748 - Added "expose" command
749 - Added support for @<sel_name> to sat and eval signal expressions
750
751 * Changes in the 'make test' framework and auxiliary test tools:
752 - Added autotest.sh -p and -f options
753 - Replaced autotest.sh ISIM support with XSIM support
754 - Added test cases for SAT framework
755
756 * Added "abbreviated IDs":
757 - Now $<something>$foo can be abbreviated as $foo.
758 - Usually this last part is a unique id (from RTLIL::autoidx)
759 - This abbreviated IDs are now also used in "show" output
760
761 * Other changes to selection framework:
762 - Now */ is optional in */<mode>:<arg> expressions
763 - Added "select -assert-none" and "select -assert-any"
764 - Added support for matching modules by attribute (A:<expr>)
765 - Added "select -none"
766 - Added support for r:<expr> pattern for matching cell parameters
767 - Added support for !=, <, <=, >=, > for attribute and parameter matching
768 - Added support for %s for selecting sub-modules
769 - Added support for %m for expanding selections to whole modules
770 - Added support for i:*, o:* and x:* pattern for selecting module ports
771 - Added support for s:<expr> pattern for matching wire width
772 - Added support for %a operation to select wire aliases
773
774 * Various other changes to commands and options:
775 - The "ls" command now supports wildcards
776 - Added "show -pause" and "show -format dot"
777 - Added "show -color" support for cells
778 - Added "show -label" and "show -notitle"
779 - Added "dump -m" and "dump -n"
780 - Added "history" command
781 - Added "rename -hide"
782 - Added "connect" command
783 - Added "splitnets -driver"
784 - Added "opt_const -mux_undef"
785 - Added "opt_const -mux_bool"
786 - Added "opt_const -undriven"
787 - Added "opt -mux_undef -mux_bool -undriven -purge"
788 - Added "hierarchy -libdir"
789 - Added "hierarchy -purge_lib" (by default now do not remove lib cells)
790 - Added "delete" command
791 - Added "dump -append"
792 - Added "setattr" and "setparam" commands
793 - Added "design -stash/-copy-from/-copy-to"
794 - Added "copy" command
795 - Added "splice" command
796