Fix access to whole sub-structs (#3086)
[yosys.git] / CHANGELOG
1
2 List of major changes and improvements between releases
3 =======================================================
4
5 Yosys 0.14 .. Yosys 0.14-dev
6 --------------------------
7
8 * Verilog
9 - Fixed evaluation of constant functions with variables or arguments with
10 reversed dimensions
11 - Fixed elaboration of dynamic range assignments where the vector is
12 reversed or is not zero-indexed
13
14 * SystemVerilog
15 - Added support for accessing whole sub-structures in expressions
16
17 Yosys 0.13 .. Yosys 0.14
18 --------------------------
19
20 * Various
21 - Added $bmux and $demux cells and related optimization patterns.
22
23 * New commands and options
24 - Added "bmuxmap" and "dmuxmap" passes
25 - Added "-fst" option to "sim" pass for writing FST files
26 - Added "-r", "-scope", "-start", "-stop", "-at", "-sim", "-sim-gate",
27 "-sim-gold" options to "sim" pass for co-simulation
28
29 * Anlogic support
30 - Added support for BRAMs
31
32 Yosys 0.12 .. Yosys 0.13
33 --------------------------
34
35 * Various
36 - Use "read" command to parse HDL files from Yosys command-line
37 - Added "yosys -r <topmodule>" command line option
38 - write_verilog: dump zero width sigspecs correctly
39
40 * SystemVerilog
41 - Fixed regression preventing the use array querying functions in case
42 expressions and case item expressions
43 - Fixed static size casts inadvertently limiting the result width of binary
44 operations
45 - Fixed static size casts ignoring expression signedness
46 - Fixed static size casts not extending unbased unsized literals
47 - Added automatic `nosync` inference for local variables in `always_comb`
48 procedures which are always assigned before they are used to avoid errant
49 latch inference
50
51 * New commands and options
52 - Added "clean_zerowidth" pass
53
54 * Verific support
55 - Add YOSYS to the implicitly defined verilog macros in verific
56
57 Yosys 0.11 .. Yosys 0.12
58 --------------------------
59
60 * Various
61 - Added iopadmap native support for negative-polarity output enable
62 - ABC update
63
64 * SystemVerilog
65 - Support parameters using struct as a wiretype
66
67 * New commands and options
68 - Added "-genlib" option to "abc" pass
69 - Added "sta" very crude static timing analysis pass
70
71 * Verific support
72 - Fixed memory block size in import
73
74 * New back-ends
75 - Added support for GateMate FPGA from Cologne Chip AG
76
77 * Intel ALM support
78 - Added preliminary Arria V support
79
80
81 Yosys 0.10 .. Yosys 0.11
82 --------------------------
83
84 * Various
85 - Added $aldff and $aldffe (flip-flops with async load) cells
86
87 * SystemVerilog
88 - Fixed an issue which prevented writing directly to a memory word via a
89 connection to an output port
90 - Fixed an issue which prevented unbased unsized literals (e.g., `'1`) from
91 filling the width of a cell input
92 - Fixed an issue where connecting a slice covering the entirety of a signed
93 signal to a cell input would cause a failed assertion
94
95 * Verific support
96 - Importer support for {PRIM,WIDE_OPER}_DFF
97 - Importer support for PRIM_BUFIF1
98 - Option to use Verific without VHDL support
99 - Importer support for {PRIM,WIDE_OPER}_DLATCH{,RS}
100 - Added -cfg option for getting/setting Verific runtime flags
101
102 Yosys 0.9 .. Yosys 0.10
103 --------------------------
104
105 * Various
106 - Added automatic gzip decompression for frontends
107 - Added $_NMUX_ cell type
108 - Added automatic gzip compression (based on filename extension) for backends
109 - Improve attribute and parameter encoding in JSON to avoid ambiguities between
110 bit vectors and strings containing [01xz]*
111 - Improvements in pmgen: subpattern and recursive matches
112 - Support explicit FIRRTL properties
113 - Improvements in pmgen: slices, choices, define, generate
114 - Added "_TECHMAP_WIREINIT_*_" parameter and "_TECHMAP_REMOVEINIT_*_" wire for "techmap" pass
115 - Added +/mul2dsp.v for decomposing wide multipliers to custom-sized ones
116 - Added new frontend: rpc
117 - Added --version and -version as aliases for -V
118 - Improve yosys-smtbmc "solver not found" handling
119 - Improved support of $readmem[hb] Memory Content File inclusion
120 - Added CXXRTL backend
121 - Use YosysHQ/abc instead of upstream berkeley-abc/abc
122 - Added WASI platform support.
123 - Added extmodule support to firrtl backend
124 - Added $divfloor and $modfloor cells
125 - Added $adffe, $dffsre, $sdff, $sdffe, $sdffce, $adlatch cells
126 - Added "_TECHMAP_CELLNAME_" parameter for "techmap" pass
127 - Added firrtl backend support for generic parameters in blackbox components
128 - Added $meminit_v2 cells (with support for write mask)
129 - Added $mem_v2, $memrd_v2, $memwr_v2, with the following features:
130 - write priority masks, per write/write port pair
131 - transparency and undefined collision behavior masks, per read/write port pair
132 - read port reset and initialization
133 - wide ports (accessing a naturally aligned power-of-two number of memory cells)
134
135 * New commands and options
136 - Added "write_xaiger" backend
137 - Added "read_xaiger"
138 - Added "abc9" pass for timing-aware techmapping (experimental, FPGA only)
139 - Added "synth -abc9" (experimental)
140 - Added "script -scriptwire"
141 - Added "clkbufmap" pass
142 - Added "extractinv" pass and "invertible_pin" attribute
143 - Added "proc_clean -quiet"
144 - Added "proc_prune" pass
145 - Added "stat -tech cmos"
146 - Added "opt_share" pass, run as part of "opt -full"
147 - Added "-match-init" option to "dff2dffs" pass
148 - Added "equiv_opt -multiclock"
149 - Added "techmap_autopurge" support to techmap
150 - Added "add -mod <modname[s]>"
151 - Added "paramap" pass
152 - Added "portlist" command
153 - Added "check -mapped"
154 - Added "check -allow-tbuf"
155 - Added "autoname" pass
156 - Added "write_verilog -extmem"
157 - Added "opt_mem" pass
158 - Added "scratchpad" pass
159 - Added "fminit" pass
160 - Added "opt_lut_ins" pass
161 - Added "logger" pass
162 - Added "show -nobg"
163 - Added "exec" command
164 - Added "design -delete"
165 - Added "design -push-copy"
166 - Added "qbfsat" command
167 - Added "select -unset"
168 - Added "dfflegalize" pass
169 - Removed "opt_expr -clkinv" option, made it the default
170 - Added "proc -nomux
171 - Merged "dffsr2dff", "opt_rmdff", "dff2dffe", "dff2dffs", "peepopt.dffmux" passes into a new "opt_dff" pass
172
173 * SystemVerilog
174 - Added checking of always block types (always_comb, always_latch and always_ff)
175 - Added support for wildcard port connections (.*)
176 - Added support for enum typedefs
177 - Added support for structs and packed unions.
178 - Allow constant function calls in for loops and generate if and case
179 - Added support for static cast
180 - Added support for logic typed parameters
181 - Fixed generate scoping issues
182 - Added support for real-valued parameters
183 - Allow localparams in constant functions
184 - Module name scope support
185 - Support recursive functions using ternary expressions
186 - Extended support for integer types
187 - Support for parameters without default values
188 - Allow globals in one file to depend on globals in another
189 - Added support for: *=, /=, %=, <<=, >>=, <<<=, >>>=
190 - Added support for parsing the 'bind' construct
191 - support declaration in procedural for initialization
192 - support declaration in generate for initialization
193 - Support wand and wor of data types
194
195 * Verific support
196 - Added "verific -L"
197 - Add Verific SVA support for "always" properties
198 - Add Verific support for SVA nexttime properties
199 - Improve handling of verific primitives in "verific -import -V" mode
200 - Import attributes for wires
201 - Support VHDL enums
202 - Added support for command files
203
204 * New back-ends
205 - Added initial EFINIX support
206 - Added Intel ALM: alternative synthesis for Intel FPGAs
207 - Added initial Nexus support
208 - Added initial MachXO2 support
209 - Added initial QuickLogic PolarPro 3 support
210
211 * ECP5 support
212 - Renamed labels/options in synth_ecp5 (e.g. dram -> map_lutram; -nodram -> -nolutram)
213 - Added "synth_ecp5 -abc9" (experimental)
214 - Added "synth_ecp5 -nowidelut"
215 - "synth_ecp5" to now infer DSP blocks (-nodsp to disable, experimental)
216
217 * iCE40 support
218 - Added "synth_ice40 -abc9" (experimental)
219 - Added "synth_ice40 -device"
220 - Renamed labels/options in synth_ice40 (e.g. dram -> map_lutram; -nodram -> -nolutram)
221 - Added "ice40_wrapcarry" to encapsulate SB_LUT+SB_CARRY pairs for techmapping
222 - Removed "ice40_unlut"
223 - Added "ice40_dsp" for Lattice iCE40 DSP packing
224 - "synth_ice40 -dsp" to infer DSP blocks
225
226 * Xilinx support
227 - Added "synth_xilinx -abc9" (experimental)
228 - Added "synth_xilinx -nocarry"
229 - Added "synth_xilinx -nowidelut"
230 - "synth_xilinx" to now infer wide multiplexers (-widemux <min> to enable)
231 - Renamed labels/options in synth_xilinx (e.g. dram -> map_lutram; -nodram -> -nolutram)
232 - Added "synth_xilinx -family xc6s" for Spartan 6 support (experimental)
233 - Added "synth_xilinx -ise" (experimental)
234 - Added "synth_xilinx -iopad"
235 - "synth_xilinx" now automatically inserts clock buffers (add -noclkbuf to disable)
236 - Added "xilinx_srl" for Xilinx shift register extraction
237 - Removed "shregmap -tech xilinx" (superseded by "xilinx_srl")
238 - Added "xilinx_dsp" for Xilinx DSP packing
239 - "synth_xilinx" to now infer DSP blocks (-nodsp to disable)
240 - Added latch support to synth_xilinx
241 - Added support for flip-flops with synchronous reset to synth_xilinx
242 - Added support for flip-flops with reset and enable to synth_xilinx
243 - Added "xilinx_dffopt" pass
244 - Added "synth_xilinx -dff"
245
246 * Intel support
247 - Renamed labels in synth_intel (e.g. bram -> map_bram)
248 - synth_intel: cyclone10 -> cyclone10lp, a10gx -> arria10gx
249 - Added "intel_alm -abc9" (experimental)
250
251 * CoolRunner2 support
252 - Separate and improve buffer cell insertion pass
253 - Use extract_counter to optimize counters
254
255 Yosys 0.8 .. Yosys 0.9
256 ----------------------
257
258 * Various
259 - Many bugfixes and small improvements
260 - Added support for SystemVerilog interfaces and modports
261 - Added "write_edif -attrprop"
262 - Added "opt_lut" pass
263 - Added "gate2lut.v" techmap rule
264 - Added "rename -src"
265 - Added "equiv_opt" pass
266 - Added "flowmap" LUT mapping pass
267 - Added "rename -wire" to rename cells based on the wires they drive
268 - Added "bugpoint" for creating minimised testcases
269 - Added "write_edif -gndvccy"
270 - "write_verilog" to escape Verilog keywords
271 - Fixed sign handling of real constants
272 - "write_verilog" to write initial statement for initial flop state
273 - Added pmgen pattern matcher generator
274 - Fixed opt_rmdff handling of $_DFFSR_???_ and $_DLATCHSR_???_
275 - Added "setundef -params" to replace undefined cell parameters
276 - Renamed "yosys -D" to "yosys -U", added "yosys -D" to set Verilog defines
277 - Fixed handling of defparam when default_nettype is none
278 - Fixed "wreduce" flipflop handling
279 - Fixed FIRRTL to Verilog process instance subfield assignment
280 - Added "write_verilog -siminit"
281 - Several fixes and improvements for mem2reg memories
282 - Fixed handling of task output ports in clocked always blocks
283 - Improved handling of and-with-1 and or-with-0 in "opt_expr"
284 - Added "read_aiger" frontend
285 - Added "mutate" pass
286 - Added "hdlname" attribute
287 - Added "rename -output"
288 - Added "read_ilang -lib"
289 - Improved "proc" full_case detection and handling
290 - Added "whitebox" and "lib_whitebox" attributes
291 - Added "read_verilog -nowb", "flatten -wb" and "wbflip"
292 - Added Python bindings and support for Python plug-ins
293 - Added "pmux2shiftx"
294 - Added log_debug framework for reduced default verbosity
295 - Improved "opt_expr" and "opt_clean" handling of (partially) undriven and/or unused wires
296 - Added "peepopt" peephole optimisation pass using pmgen
297 - Added approximate support for SystemVerilog "var" keyword
298 - Added parsing of "specify" blocks into $specrule and $specify[23]
299 - Added support for attributes on parameters and localparams
300 - Added support for parsing attributes on port connections
301 - Added "wreduce -keepdc"
302 - Added support for optimising $dffe and $_DFFE_* cells in "opt_rmdff"
303 - Added Verilog wand/wor wire type support
304 - Added support for elaboration system tasks
305 - Added "muxcover -mux{4,8,16}=<cost>"
306 - Added "muxcover -dmux=<cost>"
307 - Added "muxcover -nopartial"
308 - Added "muxpack" pass
309 - Added "pmux2shiftx -norange"
310 - Added support for "~" in filename parsing
311 - Added "read_verilog -pwires" feature to turn parameters into wires
312 - Fixed sign extension of unsized constants with 'bx and 'bz MSB
313 - Fixed genvar to be a signed type
314 - Added support for attributes on case rules
315 - Added "upto" and "offset" to JSON frontend and backend
316 - Several liberty file parser improvements
317 - Fixed handling of more complex BRAM patterns
318 - Add "write_aiger -I -O -B"
319
320 * Formal Verification
321 - Added $changed support to read_verilog
322 - Added "read_verilog -noassert -noassume -assert-assumes"
323 - Added btor ops for $mul, $div, $mod and $concat
324 - Added yosys-smtbmc support for btor witnesses
325 - Added "supercover" pass
326 - Fixed $global_clock handling vs autowire
327 - Added $dffsr support to "async2sync"
328 - Added "fmcombine" pass
329 - Added memory init support in "write_btor"
330 - Added "cutpoint" pass
331 - Changed "ne" to "neq" in btor2 output
332 - Added support for SVA "final" keyword
333 - Added "fmcombine -initeq -anyeq"
334 - Added timescale and generated-by header to yosys-smtbmc vcd output
335 - Improved BTOR2 handling of undriven wires
336
337 * Verific support
338 - Enabled Verific flags vhdl_support_variable_slice and veri_elaborate_top_level_modules_having_interface_ports
339 - Improved support for asymmetric memories
340 - Added "verific -chparam"
341 - Fixed "verific -extnets" for more complex situations
342 - Added "read -verific" and "read -noverific"
343 - Added "hierarchy -chparam"
344
345 * New back-ends
346 - Added initial Anlogic support
347 - Added initial SmartFusion2 and IGLOO2 support
348
349 * ECP5 support
350 - Added "synth_ecp5 -nowidelut"
351 - Added BRAM inference support to "synth_ecp5"
352 - Added support for transforming Diamond IO and flipflop primitives
353
354 * iCE40 support
355 - Added "ice40_unlut" pass
356 - Added "synth_ice40 -relut"
357 - Added "synth_ice40 -noabc"
358 - Added "synth_ice40 -dffe_min_ce_use"
359 - Added DSP inference support using pmgen
360 - Added support for initialising BRAM primitives from a file
361 - Added iCE40 Ultra RGB LED driver cells
362
363 * Xilinx support
364 - Use "write_edif -pvector bra" for Xilinx EDIF files
365 - Fixes for VPR place and route support with "synth_xilinx"
366 - Added more cell simulation models
367 - Added "synth_xilinx -family"
368 - Added "stat -tech xilinx" to estimate logic cell usage
369 - Added "synth_xilinx -nocarry"
370 - Added "synth_xilinx -nowidelut"
371 - "synth_xilinx" to now infer hard shift registers (-nosrl to disable)
372 - Added support for mapping RAM32X1D
373
374 Yosys 0.7 .. Yosys 0.8
375 ----------------------
376
377 * Various
378 - Many bugfixes and small improvements
379 - Strip debug symbols from installed binary
380 - Replace -ignore_redef with -[no]overwrite in front-ends
381 - Added write_verilog hex dump support, add -nohex option
382 - Added "write_verilog -decimal"
383 - Added "scc -set_attr"
384 - Added "verilog_defines" command
385 - Remember defines from one read_verilog to next
386 - Added support for hierarchical defparam
387 - Added FIRRTL back-end
388 - Improved ABC default scripts
389 - Added "design -reset-vlog"
390 - Added "yosys -W regex", "yosys -w regex", and "yosys -e regex"
391 - Added Verilog $rtoi and $itor support
392 - Added "check -initdrv"
393 - Added "read_blif -wideports"
394 - Added support for SystemVerilog "++" and "--" operators
395 - Added support for SystemVerilog unique, unique0, and priority case
396 - Added "write_edif" options for edif "flavors"
397 - Added support for resetall compiler directive
398 - Added simple C beck-end (bitwise combinatorical only atm)
399 - Added $_ANDNOT_ and $_ORNOT_ cell types
400 - Added cell library aliases to "abc -g"
401 - Added "setundef -anyseq"
402 - Added "chtype" command
403 - Added "design -import"
404 - Added "write_table" command
405 - Added "read_json" command
406 - Added "sim" command
407 - Added "extract_fa" and "extract_reduce" commands
408 - Added "extract_counter" command
409 - Added "opt_demorgan" command
410 - Added support for $size and $bits SystemVerilog functions
411 - Added "blackbox" command
412 - Added "ltp" command
413 - Added support for editline as replacement for readline
414 - Added warnings for driver-driver conflicts between FFs (and other cells) and constants
415 - Added "yosys -E" for creating Makefile dependencies files
416 - Added "synth -noshare"
417 - Added "memory_nordff"
418 - Added "setundef -undef -expose -anyconst"
419 - Added "expose -input"
420 - Added specify/specparam parser support (simply ignore them)
421 - Added "write_blif -inames -iattr"
422 - Added "hierarchy -simcheck"
423 - Added an option to statically link abc into yosys
424 - Added protobuf back-end
425 - Added BLIF parsing support for .conn and .cname
426 - Added read_verilog error checking for reg/wire/logic misuse
427 - Added "make coverage" and ENABLE_GCOV build option
428
429 * Changes in Yosys APIs
430 - Added ConstEval defaultval feature
431 - Added {get,set}_src_attribute() methods on RTLIL::AttrObject
432 - Added SigSpec::is_fully_ones() and Const::is_fully_ones()
433 - Added log_file_warning() and log_file_error() functions
434
435 * Formal Verification
436 - Added "write_aiger"
437 - Added "yosys-smtbmc --aig"
438 - Added "always <positive_int>" to .smtc format
439 - Added $cover cell type and support for cover properties
440 - Added $fair/$live cell type and support for liveness properties
441 - Added smtbmc support for memory vcd dumping
442 - Added "chformal" command
443 - Added "write_smt2 -stbv" and "write_smt2 -stdt"
444 - Fix equiv_simple, old behavior now available with "equiv_simple -short"
445 - Change to Yices2 as default SMT solver (it is GPL now)
446 - Added "yosys-smtbmc --presat" (now default in SymbiYosys)
447 - Added "yosys-smtbmc --smtc-init --smtc-top --noinit"
448 - Added a brand new "write_btor" command for BTOR2
449 - Added clk2fflogic memory support and other improvements
450 - Added "async memory write" support to write_smt2
451 - Simulate clock toggling in yosys-smtbmc VCD output
452 - Added $allseq/$allconst cells for EA-solving
453 - Make -nordff the default in "prep"
454 - Added (* gclk *) attribute
455 - Added "async2sync" pass for single-clock designs with async resets
456
457 * Verific support
458 - Many improvements in Verific front-end
459 - Added proper handling of concurent SVA properties
460 - Map "const" and "rand const" to $anyseq/$anyconst
461 - Added "verific -import -flatten" and "verific -import -extnets"
462 - Added "verific -vlog-incdir -vlog-define -vlog-libdir"
463 - Remove PSL support (because PSL has been removed in upstream Verific)
464 - Improve integration with "hierarchy" command design elaboration
465 - Added YOSYS_NOVERIFIC for running non-verific test cases with verific bin
466 - Added simpilied "read" command that automatically uses verific if available
467 - Added "verific -set-<severity> <msg_id>.."
468 - Added "verific -work <libname>"
469
470 * New back-ends
471 - Added initial Coolrunner-II support
472 - Added initial eASIC support
473 - Added initial ECP5 support
474
475 * GreenPAK Support
476 - Added support for GP_DLATCH, GP_SPI, GP_DCMx, GP_COUNTx, etc.
477
478 * iCE40 Support
479 - Add "synth_ice40 -vpr"
480 - Add "synth_ice40 -nodffe"
481 - Add "synth_ice40 -json"
482 - Add Support for UltraPlus cells
483
484 * MAX10 and Cyclone IV Support
485 - Added initial version of metacommand "synth_intel".
486 - Improved write_verilog command to produce VQM netlist for Quartus Prime.
487 - Added support for MAX10 FPGA family synthesis.
488 - Added support for Cyclone IV family synthesis.
489 - Added example of implementation for DE2i-150 board.
490 - Added example of implementation for MAX10 development kit.
491 - Added LFSR example from Asic World.
492 - Added "dffinit -highlow" for mapping to Intel primitives
493
494
495 Yosys 0.6 .. Yosys 0.7
496 ----------------------
497
498 * Various
499 - Added "yosys -D" feature
500 - Added support for installed plugins in $(DATDIR)/plugins/
501 - Renamed opt_const to opt_expr
502 - Renamed opt_share to opt_merge
503 - Added "prep -flatten" and "synth -flatten"
504 - Added "prep -auto-top" and "synth -auto-top"
505 - Using "mfs" and "lutpack" in ABC lut mapping
506 - Support for abstract modules in chparam
507 - Cleanup abstract modules at end of "hierarchy -top"
508 - Added tristate buffer support to iopadmap
509 - Added opt_expr support for div/mod by power-of-two
510 - Added "select -assert-min <N> -assert-max <N>"
511 - Added "attrmvcp" pass
512 - Added "attrmap" command
513 - Added "tee +INT -INT"
514 - Added "zinit" pass
515 - Added "setparam -type"
516 - Added "shregmap" pass
517 - Added "setundef -init"
518 - Added "nlutmap -assert"
519 - Added $sop cell type and "abc -sop -I <num> -P <num>"
520 - Added "dc2" to default ABC scripts
521 - Added "deminout"
522 - Added "insbuf" command
523 - Added "prep -nomem"
524 - Added "opt_rmdff -keepdc"
525 - Added "prep -nokeepdc"
526 - Added initial version of "synth_gowin"
527 - Added "fsm_expand -full"
528 - Added support for fsm_encoding="user"
529 - Many improvements in GreenPAK4 support
530 - Added black box modules for all Xilinx 7-series lib cells
531 - Added synth_ice40 support for latches via logic loops
532 - Fixed ice40_opt lut unmapping, added "ice40_opt -unlut"
533
534 * Build System
535 - Added ABCEXTERNAL and ABCURL make variables
536 - Added BINDIR, LIBDIR, and DATDIR make variables
537 - Added PKG_CONFIG make variable
538 - Added SEED make variable (for "make test")
539 - Added YOSYS_VER_STR make variable
540 - Updated min GCC requirement to GCC 4.8
541 - Updated required Bison version to Bison 3.x
542
543 * Internal APIs
544 - Added ast.h to exported headers
545 - Added ScriptPass helper class for script-like passes
546 - Added CellEdgesDatabase API
547
548 * Front-ends and Back-ends
549 - Added filename glob support to all front-ends
550 - Added avail (black-box) module params to ilang format
551 - Added $display %m support
552 - Added support for $stop Verilog system task
553 - Added support for SystemVerilog packages
554 - Fixed procedural assignments to non-unique lvalues, e.g. {y,y} = {a,b}
555 - Added support for "active high" and "active low" latches in read_blif and write_blif
556 - Use init value "2" for all uninitialized FFs in BLIF back-end
557 - Added "read_blif -sop"
558 - Added "write_blif -noalias"
559 - Added various write_blif options for VTR support
560 - write_json: also write module attributes.
561 - Added "write_verilog -nodec -nostr -defparam"
562 - Added "read_verilog -norestrict -assume-asserts"
563 - Added support for bus interfaces to "read_liberty -lib"
564 - Added liberty parser support for types within cell decls
565 - Added "write_verilog -renameprefix -v"
566 - Added "write_edif -nogndvcc"
567
568 * Formal Verification
569 - Support for hierarchical designs in smt2 back-end
570 - Yosys-smtbmc: Support for hierarchical VCD dumping
571 - Added $initstate cell type and vlog function
572 - Added $anyconst and $anyseq cell types and vlog functions
573 - Added printing of code loc of failed asserts to yosys-smtbmc
574 - Added memory_memx pass, "memory -memx", and "prep -memx"
575 - Added "proc_mux -ifx"
576 - Added "yosys-smtbmc -g"
577 - Deprecated "write_smt2 -regs" (by default on now)
578 - Made "write_smt2 -bv -mem" default, added "write_smt2 -nobv -nomem"
579 - Added support for memories to smtio.py
580 - Added "yosys-smtbmc --dump-vlogtb"
581 - Added "yosys-smtbmc --smtc --dump-smtc"
582 - Added "yosys-smtbmc --dump-all"
583 - Added assertpmux command
584 - Added "yosys-smtbmc --unroll"
585 - Added $past, $stable, $rose, $fell SVA functions
586 - Added "yosys-smtbmc --noinfo and --dummy"
587 - Added "yosys-smtbmc --noincr"
588 - Added "yosys-smtbmc --cex <filename>"
589 - Added $ff and $_FF_ cell types
590 - Added $global_clock verilog syntax support for creating $ff cells
591 - Added clk2fflogic
592
593
594 Yosys 0.5 .. Yosys 0.6
595 ----------------------
596
597 * Various
598 - Added Contributor Covenant Code of Conduct
599 - Various improvements in dict<> and pool<>
600 - Added hashlib::mfp and refactored SigMap
601 - Improved support for reals as module parameters
602 - Various improvements in SMT2 back-end
603 - Added "keep_hierarchy" attribute
604 - Verilog front-end: define `BLACKBOX in -lib mode
605 - Added API for converting internal cells to AIGs
606 - Added ENABLE_LIBYOSYS Makefile option
607 - Removed "techmap -share_map" (use "-map +/filename" instead)
608 - Switched all Python scripts to Python 3
609 - Added support for $display()/$write() and $finish() to Verilog front-end
610 - Added "yosys-smtbmc" formal verification flow
611 - Added options for clang sanitizers to Makefile
612
613 * New commands and options
614 - Added "scc -expect <N> -nofeedback"
615 - Added "proc_dlatch"
616 - Added "check"
617 - Added "select %xe %cie %coe %M %C %R"
618 - Added "sat -dump_json" (WaveJSON format)
619 - Added "sat -tempinduct-baseonly -tempinduct-inductonly"
620 - Added "sat -stepsize" and "sat -tempinduct-step"
621 - Added "sat -show-regs -show-public -show-all"
622 - Added "write_json" (Native Yosys JSON format)
623 - Added "write_blif -attr"
624 - Added "dffinit"
625 - Added "chparam"
626 - Added "muxcover"
627 - Added "pmuxtree"
628 - Added memory_bram "make_outreg" feature
629 - Added "splice -wires"
630 - Added "dff2dffe -direct-match"
631 - Added simplemap $lut support
632 - Added "read_blif"
633 - Added "opt_share -share_all"
634 - Added "aigmap"
635 - Added "write_smt2 -mem -regs -wires"
636 - Added "memory -nordff"
637 - Added "write_smv"
638 - Added "synth -nordff -noalumacc"
639 - Added "rename -top new_name"
640 - Added "opt_const -clkinv"
641 - Added "synth -nofsm"
642 - Added "miter -assert"
643 - Added "read_verilog -noautowire"
644 - Added "read_verilog -nodpi"
645 - Added "tribuf"
646 - Added "lut2mux"
647 - Added "nlutmap"
648 - Added "qwp"
649 - Added "test_cell -noeval"
650 - Added "edgetypes"
651 - Added "equiv_struct"
652 - Added "equiv_purge"
653 - Added "equiv_mark"
654 - Added "equiv_add -try -cell"
655 - Added "singleton"
656 - Added "abc -g -luts"
657 - Added "torder"
658 - Added "write_blif -cname"
659 - Added "submod -copy"
660 - Added "dffsr2dff"
661 - Added "stat -liberty"
662
663 * Synthesis metacommands
664 - Various improvements in synth_xilinx
665 - Added synth_ice40 and synth_greenpak4
666 - Added "prep" metacommand for "synthesis lite"
667
668 * Cell library changes
669 - Added cell types to "help" system
670 - Added $meminit cell type
671 - Added $assume cell type
672 - Added $_MUX4_, $_MUX8_, and $_MUX16_ cells
673 - Added $tribuf and $_TBUF_ cell types
674 - Added read-enable to memory model
675
676 * YosysJS
677 - Various improvements in emscripten build
678 - Added alternative webworker-based JS API
679 - Added a few example applications
680
681
682 Yosys 0.4 .. Yosys 0.5
683 ----------------------
684
685 * API changes
686 - Added log_warning()
687 - Added eval_select_args() and eval_select_op()
688 - Added cell->known(), cell->input(portname), cell->output(portname)
689 - Skip blackbox modules in design->selected_modules()
690 - Replaced std::map<> and std::set<> with dict<> and pool<>
691 - New SigSpec::extend() is what used to be SigSpec::extend_u0()
692 - Added YS_OVERRIDE, YS_FINAL, YS_ATTRIBUTE, YS_NORETURN
693
694 * Cell library changes
695 - Added flip-flops with enable ($dffe etc.)
696 - Added $equiv cells for equivalence checking framework
697
698 * Various
699 - Updated ABC to hg rev 61ad5f908c03
700 - Added clock domain partitioning to ABC pass
701 - Improved plugin building (see "yosys-config --build")
702 - Added ENABLE_NDEBUG Makefile flag for high-performance builds
703 - Added "yosys -d", "yosys -L" and other driver improvements
704 - Added support for multi-bit (array) cell ports to "write_edif"
705 - Now printing most output to stdout, not stderr
706 - Added "onehot" attribute (set by "fsm_map")
707 - Various performance improvements
708 - Vastly improved Xilinx flow
709 - Added "make unsintall"
710
711 * Equivalence checking
712 - Added equivalence checking commands:
713 equiv_make equiv_simple equiv_status
714 equiv_induct equiv_miter
715 equiv_add equiv_remove
716
717 * Block RAM support:
718 - Added "memory_bram" command
719 - Added BRAM support to Xilinx flow
720
721 * Other New Commands and Options
722 - Added "dff2dffe"
723 - Added "fsm -encfile"
724 - Added "dfflibmap -prepare"
725 - Added "write_blid -unbuf -undef -blackbox"
726 - Added "write_smt2" for writing SMT-LIBv2 files
727 - Added "test_cell -w -muxdiv"
728 - Added "select -read"
729
730
731 Yosys 0.3.0 .. Yosys 0.4
732 ------------------------
733
734 * Platform Support
735 - Added support for mxe-based cross-builds for win32
736 - Added sourcecode-export as VisualStudio project
737 - Added experimental EMCC (JavaScript) support
738
739 * Verilog Frontend
740 - Added -sv option for SystemVerilog (and automatic *.sv file support)
741 - Added support for real-valued constants and constant expressions
742 - Added support for non-standard "via_celltype" attribute on task/func
743 - Added support for non-standard "module mod_name(...);" syntax
744 - Added support for non-standard """ macro bodies
745 - Added support for array with more than one dimension
746 - Added support for $readmemh and $readmemb
747 - Added support for DPI functions
748
749 * Changes in internal cell library
750 - Added $shift and $shiftx cell types
751 - Added $alu, $lcu, $fa and $macc cell types
752 - Removed $bu0 and $safe_pmux cell types
753 - $mem/$memwr WR_EN input is now a per-data-bit enable signal
754 - Added $_NAND_ $_NOR_ $_XNOR_ $_AOI3_ $_OAI3_ $_AOI4_ $_OAI4_
755 - Renamed ports of $lut cells (from I->O to A->Y)
756 - Renamed $_INV_ to $_NOT_
757
758 * Changes for simple synthesis flows
759 - There is now a "synth" command with a recommended default script
760 - Many improvements in synthesis of arithmetic functions to gates
761 - Multipliers and adders with many operands are using carry-save adder trees
762 - Remaining adders are now implemented using Brent-Kung carry look-ahead adders
763 - Various new high-level optimizations on RTL netlist
764 - Various improvements in FSM optimization
765 - Updated ABC to hg 5b5af75f1dda (from 2014-11-07)
766
767 * Changes in internal APIs and RTLIL
768 - Added log_id() and log_cell() helper functions
769 - Added function-like cell creation helpers
770 - Added GetSize() function (like .size() but with int)
771 - Major refactoring of RTLIL::Module and related classes
772 - Major refactoring of RTLIL::SigSpec and related classes
773 - Now RTLIL::IdString is essentially an int
774 - Added macros for code coverage counters
775 - Added some Makefile magic for pretty make logs
776 - Added "kernel/yosys.h" with all the core definitions
777 - Changed a lot of code from FILE* to c++ streams
778 - Added RTLIL::Monitor API and "trace" command
779 - Added "Yosys" C++ namespace
780
781 * Changes relevant to SAT solving
782 - Added ezSAT::keep_cnf() and ezSAT::non_incremental()
783 - Added native ezSAT support for vector shift ops
784 - Updated MiniSAT to git 37dc6c67e2 (from 2013-09-25)
785
786 * New commands (or large improvements to commands)
787 - Added "synth" command with default script
788 - Added "share" (finally some real resource sharing)
789 - Added "memory_share" (reduce number of ports on memories)
790 - Added "wreduce" and "alumacc" commands
791 - Added "opt -keepdc -fine -full -fast"
792 - Added some "test_*" commands
793
794 * Various other changes
795 - Added %D and %c select operators
796 - Added support for labels in yosys scripts
797 - Added support for here-documents in yosys scripts
798 - Support "+/" prefix for files from proc_share_dir
799 - Added "autoidx" statement to ilang language
800 - Switched from "yosys-svgviewer" to "xdot"
801 - Renamed "stdcells.v" to "techmap.v"
802 - Various bug fixes and small improvements
803 - Improved welcome and bye messages
804
805
806 Yosys 0.2.0 .. Yosys 0.3.0
807 --------------------------
808
809 * Driver program and overall behavior:
810 - Added "design -push" and "design -pop"
811 - Added "tee" command for redirecting log output
812
813 * Changes in the internal cell library:
814 - Added $dlatchsr and $_DLATCHSR_???_ cell types
815
816 * Improvements in Verilog frontend:
817 - Improved support for const functions (case, always, repeat)
818 - The generate..endgenerate keywords are now optional
819 - Added support for arrays of module instances
820 - Added support for "`default_nettype" directive
821 - Added support for "`line" directive
822
823 * Other front- and back-ends:
824 - Various changes to "write_blif" options
825 - Various improvements in EDIF backend
826 - Added "vhdl2verilog" pseudo-front-end
827 - Added "verific" pseudo-front-end
828
829 * Improvements in technology mapping:
830 - Added support for recursive techmap
831 - Added CONSTMSK and CONSTVAL features to techmap
832 - Added _TECHMAP_CONNMAP_*_ feature to techmap
833 - Added _TECHMAP_REPLACE_ feature to techmap
834 - Added "connwrappers" command for wrap-extract-unwrap method
835 - Added "extract -map %<design_name>" feature
836 - Added "extract -ignore_param ..." and "extract -ignore_parameters"
837 - Added "techmap -max_iter" option
838
839 * Improvements to "eval" and "sat" framework:
840 - Now include a copy of Minisat (with build fixes applied)
841 - Switched to Minisat::SimpSolver as SAT back-end
842 - Added "sat -dump_vcd" feature
843 - Added "sat -dump_cnf" feature
844 - Added "sat -initsteps <N>" feature
845 - Added "freduce -stop <N>" feature
846 - Added "freduce -dump <prefix>" feature
847
848 * Integration with ABC:
849 - Updated ABC rev to 7600ffb9340c
850
851 * Improvements in the internal APIs:
852 - Added RTLIL::Module::add... helper methods
853 - Various build fixes for OSX (Darwin) and OpenBSD
854
855
856 Yosys 0.1.0 .. Yosys 0.2.0
857 --------------------------
858
859 * Changes to the driver program:
860 - Added "yosys -h" and "yosys -H"
861 - Added support for backslash line continuation in scripts
862 - Added support for #-comments in same line as command
863 - Added "echo" and "log" commands
864
865 * Improvements in Verilog frontend:
866 - Added support for local registers in named blocks
867 - Added support for "case" in "generate" blocks
868 - Added support for $clog2 system function
869 - Added support for basic SystemVerilog assert statements
870 - Added preprocessor support for macro arguments
871 - Added preprocessor support for `elsif statement
872 - Added "verilog_defaults" command
873 - Added read_verilog -icells option
874 - Added support for constant sizes from parameters
875 - Added "read_verilog -setattr"
876 - Added support for function returning 'integer'
877 - Added limited support for function calls in parameter values
878 - Added "read_verilog -defer" to suppress evaluation of modules with default parameters
879
880 * Other front- and back-ends:
881 - Added BTOR backend
882 - Added Liberty frontend
883
884 * Improvements in technology mapping:
885 - The "dfflibmap" command now strongly prefers solutions with
886 no inverters in clock paths
887 - The "dfflibmap" command now prefers cells with smaller area
888 - Added support for multiple -map options to techmap
889 - Added "dfflibmap" support for //-comments in liberty files
890 - Added "memory_unpack" command to revert "memory_collect"
891 - Added standard techmap rule "techmap -share_map pmux2mux.v"
892 - Added "iopadmap -bits"
893 - Added "setundef" command
894 - Added "hilomap" command
895
896 * Changes in the internal cell library:
897 - Major rewrite of simlib.v for better compatibility with other tools
898 - Added PRIORITY parameter to $memwr cells
899 - Added TRANSPARENT parameter to $memrd cells
900 - Added RD_TRANSPARENT parameter to $mem cells
901 - Added $bu0 cell (always 0-extend, even undef MSB)
902 - Added $assert cell type
903 - Added $slice and $concat cell types
904
905 * Integration with ABC:
906 - Updated ABC to hg rev 2058c8ccea68
907 - Tighter integration of ABC build with Yosys build. The make
908 targets 'make abc' and 'make install-abc' are now obsolete.
909 - Added support for passing FFs from one clock domain through ABC
910 - Now always use BLIF as exchange format with ABC
911 - Added support for "abc -script +<command_sequence>"
912 - Improved standard ABC recipe
913 - Added support for "keep" attribute to abc command
914 - Added "abc -dff / -clk / -keepff" options
915
916 * Improvements to "eval" and "sat" framework:
917 - Added support for "0" and "~0" in right-hand side -set expressions
918 - Added "eval -set-undef" and "eval -table"
919 - Added "sat -set-init" and "sat -set-init-*" for sequential problems
920 - Added undef support to SAT solver, incl. various new "sat" options
921 - Added correct support for === and !== for "eval" and "sat"
922 - Added "sat -tempinduct" (default -seq is now non-induction sequential)
923 - Added "sat -prove-asserts"
924 - Complete rewrite of the 'freduce' command
925 - Added "miter" command
926 - Added "sat -show-inputs" and "sat -show-outputs"
927 - Added "sat -ignore_unknown_cells" (now produce an error by default)
928 - Added "sat -falsify"
929 - Now "sat -verify" and "sat -falsify" can also be used without "-prove"
930 - Added "expose" command
931 - Added support for @<sel_name> to sat and eval signal expressions
932
933 * Changes in the 'make test' framework and auxiliary test tools:
934 - Added autotest.sh -p and -f options
935 - Replaced autotest.sh ISIM support with XSIM support
936 - Added test cases for SAT framework
937
938 * Added "abbreviated IDs":
939 - Now $<something>$foo can be abbreviated as $foo.
940 - Usually this last part is a unique id (from RTLIL::autoidx)
941 - This abbreviated IDs are now also used in "show" output
942
943 * Other changes to selection framework:
944 - Now */ is optional in */<mode>:<arg> expressions
945 - Added "select -assert-none" and "select -assert-any"
946 - Added support for matching modules by attribute (A:<expr>)
947 - Added "select -none"
948 - Added support for r:<expr> pattern for matching cell parameters
949 - Added support for !=, <, <=, >=, > for attribute and parameter matching
950 - Added support for %s for selecting sub-modules
951 - Added support for %m for expanding selections to whole modules
952 - Added support for i:*, o:* and x:* pattern for selecting module ports
953 - Added support for s:<expr> pattern for matching wire width
954 - Added support for %a operation to select wire aliases
955
956 * Various other changes to commands and options:
957 - The "ls" command now supports wildcards
958 - Added "show -pause" and "show -format dot"
959 - Added "show -color" support for cells
960 - Added "show -label" and "show -notitle"
961 - Added "dump -m" and "dump -n"
962 - Added "history" command
963 - Added "rename -hide"
964 - Added "connect" command
965 - Added "splitnets -driver"
966 - Added "opt_const -mux_undef"
967 - Added "opt_const -mux_bool"
968 - Added "opt_const -undriven"
969 - Added "opt -mux_undef -mux_bool -undriven -purge"
970 - Added "hierarchy -libdir"
971 - Added "hierarchy -purge_lib" (by default now do not remove lib cells)
972 - Added "delete" command
973 - Added "dump -append"
974 - Added "setattr" and "setparam" commands
975 - Added "design -stash/-copy-from/-copy-to"
976 - Added "copy" command
977 - Added "splice" command
978