Release version 0.17
[yosys.git] / CHANGELOG
1
2 List of major changes and improvements between releases
3 =======================================================
4
5 Yosys 0.16 .. Yosys 0.17
6 --------------------------
7 * New commands and options
8 - Added "write_jny" ( JSON netlist metadata format )
9 - Added "tribuf -formal"
10
11 * SystemVerilog
12 - Fixed automatic `nosync` inference for local variables in `always_comb`
13 procedures not applying to nested blocks and blocks in functions
14
15 Yosys 0.15 .. Yosys 0.16
16 --------------------------
17 * Various
18 - Added BTOR2 witness file co-simulation.
19 - Simulation calls external vcd2fst for VCD conversion.
20 - Added fst2tb pass - generates testbench for the circuit using
21 the given top-level module and simulus signal from FST file.
22 - yosys-smtbmc: Option to keep going after failed assertions in BMC mode
23
24 * Verific support
25 - Import modules in alphabetic (reproducable) order.
26
27 Yosys 0.14 .. Yosys 0.15
28 --------------------------
29
30 * Various
31 - clk2fflogic: nice names for autogenerated signals
32 - simulation include support for all flip-flop types.
33 - Added AIGER witness file co-simulation.
34
35 * Verilog
36 - Fixed evaluation of constant functions with variables or arguments with
37 reversed dimensions
38 - Fixed elaboration of dynamic range assignments where the vector is
39 reversed or is not zero-indexed
40 - Added frontend support for time scale delay values (e.g., `#1ns`)
41
42 * SystemVerilog
43 - Added support for accessing whole sub-structures in expressions
44
45 * New commands and options
46 - Added glift command, used to create gate-level information flow tracking
47 (GLIFT) models by the "constructive mapping" approach
48
49 * Verific support
50 - Ability to override default parser mode for verific -f command.
51
52 Yosys 0.13 .. Yosys 0.14
53 --------------------------
54
55 * Various
56 - Added $bmux and $demux cells and related optimization patterns.
57
58 * New commands and options
59 - Added "bmuxmap" and "dmuxmap" passes
60 - Added "-fst" option to "sim" pass for writing FST files
61 - Added "-r", "-scope", "-start", "-stop", "-at", "-sim", "-sim-gate",
62 "-sim-gold" options to "sim" pass for co-simulation
63
64 * Anlogic support
65 - Added support for BRAMs
66
67 Yosys 0.12 .. Yosys 0.13
68 --------------------------
69
70 * Various
71 - Use "read" command to parse HDL files from Yosys command-line
72 - Added "yosys -r <topmodule>" command line option
73 - write_verilog: dump zero width sigspecs correctly
74
75 * SystemVerilog
76 - Fixed regression preventing the use array querying functions in case
77 expressions and case item expressions
78 - Fixed static size casts inadvertently limiting the result width of binary
79 operations
80 - Fixed static size casts ignoring expression signedness
81 - Fixed static size casts not extending unbased unsized literals
82 - Added automatic `nosync` inference for local variables in `always_comb`
83 procedures which are always assigned before they are used to avoid errant
84 latch inference
85
86 * New commands and options
87 - Added "clean_zerowidth" pass
88
89 * Verific support
90 - Add YOSYS to the implicitly defined verilog macros in verific
91
92 Yosys 0.11 .. Yosys 0.12
93 --------------------------
94
95 * Various
96 - Added iopadmap native support for negative-polarity output enable
97 - ABC update
98
99 * SystemVerilog
100 - Support parameters using struct as a wiretype
101
102 * New commands and options
103 - Added "-genlib" option to "abc" pass
104 - Added "sta" very crude static timing analysis pass
105
106 * Verific support
107 - Fixed memory block size in import
108
109 * New back-ends
110 - Added support for GateMate FPGA from Cologne Chip AG
111
112 * Intel ALM support
113 - Added preliminary Arria V support
114
115
116 Yosys 0.10 .. Yosys 0.11
117 --------------------------
118
119 * Various
120 - Added $aldff and $aldffe (flip-flops with async load) cells
121
122 * SystemVerilog
123 - Fixed an issue which prevented writing directly to a memory word via a
124 connection to an output port
125 - Fixed an issue which prevented unbased unsized literals (e.g., `'1`) from
126 filling the width of a cell input
127 - Fixed an issue where connecting a slice covering the entirety of a signed
128 signal to a cell input would cause a failed assertion
129
130 * Verific support
131 - Importer support for {PRIM,WIDE_OPER}_DFF
132 - Importer support for PRIM_BUFIF1
133 - Option to use Verific without VHDL support
134 - Importer support for {PRIM,WIDE_OPER}_DLATCH{,RS}
135 - Added -cfg option for getting/setting Verific runtime flags
136
137 Yosys 0.9 .. Yosys 0.10
138 --------------------------
139
140 * Various
141 - Added automatic gzip decompression for frontends
142 - Added $_NMUX_ cell type
143 - Added automatic gzip compression (based on filename extension) for backends
144 - Improve attribute and parameter encoding in JSON to avoid ambiguities between
145 bit vectors and strings containing [01xz]*
146 - Improvements in pmgen: subpattern and recursive matches
147 - Support explicit FIRRTL properties
148 - Improvements in pmgen: slices, choices, define, generate
149 - Added "_TECHMAP_WIREINIT_*_" parameter and "_TECHMAP_REMOVEINIT_*_" wire for "techmap" pass
150 - Added +/mul2dsp.v for decomposing wide multipliers to custom-sized ones
151 - Added new frontend: rpc
152 - Added --version and -version as aliases for -V
153 - Improve yosys-smtbmc "solver not found" handling
154 - Improved support of $readmem[hb] Memory Content File inclusion
155 - Added CXXRTL backend
156 - Use YosysHQ/abc instead of upstream berkeley-abc/abc
157 - Added WASI platform support.
158 - Added extmodule support to firrtl backend
159 - Added $divfloor and $modfloor cells
160 - Added $adffe, $dffsre, $sdff, $sdffe, $sdffce, $adlatch cells
161 - Added "_TECHMAP_CELLNAME_" parameter for "techmap" pass
162 - Added firrtl backend support for generic parameters in blackbox components
163 - Added $meminit_v2 cells (with support for write mask)
164 - Added $mem_v2, $memrd_v2, $memwr_v2, with the following features:
165 - write priority masks, per write/write port pair
166 - transparency and undefined collision behavior masks, per read/write port pair
167 - read port reset and initialization
168 - wide ports (accessing a naturally aligned power-of-two number of memory cells)
169
170 * New commands and options
171 - Added "write_xaiger" backend
172 - Added "read_xaiger"
173 - Added "abc9" pass for timing-aware techmapping (experimental, FPGA only)
174 - Added "synth -abc9" (experimental)
175 - Added "script -scriptwire"
176 - Added "clkbufmap" pass
177 - Added "extractinv" pass and "invertible_pin" attribute
178 - Added "proc_clean -quiet"
179 - Added "proc_prune" pass
180 - Added "stat -tech cmos"
181 - Added "opt_share" pass, run as part of "opt -full"
182 - Added "-match-init" option to "dff2dffs" pass
183 - Added "equiv_opt -multiclock"
184 - Added "techmap_autopurge" support to techmap
185 - Added "add -mod <modname[s]>"
186 - Added "paramap" pass
187 - Added "portlist" command
188 - Added "check -mapped"
189 - Added "check -allow-tbuf"
190 - Added "autoname" pass
191 - Added "write_verilog -extmem"
192 - Added "opt_mem" pass
193 - Added "scratchpad" pass
194 - Added "fminit" pass
195 - Added "opt_lut_ins" pass
196 - Added "logger" pass
197 - Added "show -nobg"
198 - Added "exec" command
199 - Added "design -delete"
200 - Added "design -push-copy"
201 - Added "qbfsat" command
202 - Added "select -unset"
203 - Added "dfflegalize" pass
204 - Removed "opt_expr -clkinv" option, made it the default
205 - Added "proc -nomux
206 - Merged "dffsr2dff", "opt_rmdff", "dff2dffe", "dff2dffs", "peepopt.dffmux" passes into a new "opt_dff" pass
207
208 * SystemVerilog
209 - Added checking of always block types (always_comb, always_latch and always_ff)
210 - Added support for wildcard port connections (.*)
211 - Added support for enum typedefs
212 - Added support for structs and packed unions.
213 - Allow constant function calls in for loops and generate if and case
214 - Added support for static cast
215 - Added support for logic typed parameters
216 - Fixed generate scoping issues
217 - Added support for real-valued parameters
218 - Allow localparams in constant functions
219 - Module name scope support
220 - Support recursive functions using ternary expressions
221 - Extended support for integer types
222 - Support for parameters without default values
223 - Allow globals in one file to depend on globals in another
224 - Added support for: *=, /=, %=, <<=, >>=, <<<=, >>>=
225 - Added support for parsing the 'bind' construct
226 - support declaration in procedural for initialization
227 - support declaration in generate for initialization
228 - Support wand and wor of data types
229
230 * Verific support
231 - Added "verific -L"
232 - Add Verific SVA support for "always" properties
233 - Add Verific support for SVA nexttime properties
234 - Improve handling of verific primitives in "verific -import -V" mode
235 - Import attributes for wires
236 - Support VHDL enums
237 - Added support for command files
238
239 * New back-ends
240 - Added initial EFINIX support
241 - Added Intel ALM: alternative synthesis for Intel FPGAs
242 - Added initial Nexus support
243 - Added initial MachXO2 support
244 - Added initial QuickLogic PolarPro 3 support
245
246 * ECP5 support
247 - Renamed labels/options in synth_ecp5 (e.g. dram -> map_lutram; -nodram -> -nolutram)
248 - Added "synth_ecp5 -abc9" (experimental)
249 - Added "synth_ecp5 -nowidelut"
250 - "synth_ecp5" to now infer DSP blocks (-nodsp to disable, experimental)
251
252 * iCE40 support
253 - Added "synth_ice40 -abc9" (experimental)
254 - Added "synth_ice40 -device"
255 - Renamed labels/options in synth_ice40 (e.g. dram -> map_lutram; -nodram -> -nolutram)
256 - Added "ice40_wrapcarry" to encapsulate SB_LUT+SB_CARRY pairs for techmapping
257 - Removed "ice40_unlut"
258 - Added "ice40_dsp" for Lattice iCE40 DSP packing
259 - "synth_ice40 -dsp" to infer DSP blocks
260
261 * Xilinx support
262 - Added "synth_xilinx -abc9" (experimental)
263 - Added "synth_xilinx -nocarry"
264 - Added "synth_xilinx -nowidelut"
265 - "synth_xilinx" to now infer wide multiplexers (-widemux <min> to enable)
266 - Renamed labels/options in synth_xilinx (e.g. dram -> map_lutram; -nodram -> -nolutram)
267 - Added "synth_xilinx -family xc6s" for Spartan 6 support (experimental)
268 - Added "synth_xilinx -ise" (experimental)
269 - Added "synth_xilinx -iopad"
270 - "synth_xilinx" now automatically inserts clock buffers (add -noclkbuf to disable)
271 - Added "xilinx_srl" for Xilinx shift register extraction
272 - Removed "shregmap -tech xilinx" (superseded by "xilinx_srl")
273 - Added "xilinx_dsp" for Xilinx DSP packing
274 - "synth_xilinx" to now infer DSP blocks (-nodsp to disable)
275 - Added latch support to synth_xilinx
276 - Added support for flip-flops with synchronous reset to synth_xilinx
277 - Added support for flip-flops with reset and enable to synth_xilinx
278 - Added "xilinx_dffopt" pass
279 - Added "synth_xilinx -dff"
280
281 * Intel support
282 - Renamed labels in synth_intel (e.g. bram -> map_bram)
283 - synth_intel: cyclone10 -> cyclone10lp, a10gx -> arria10gx
284 - Added "intel_alm -abc9" (experimental)
285
286 * CoolRunner2 support
287 - Separate and improve buffer cell insertion pass
288 - Use extract_counter to optimize counters
289
290 Yosys 0.8 .. Yosys 0.9
291 ----------------------
292
293 * Various
294 - Many bugfixes and small improvements
295 - Added support for SystemVerilog interfaces and modports
296 - Added "write_edif -attrprop"
297 - Added "opt_lut" pass
298 - Added "gate2lut.v" techmap rule
299 - Added "rename -src"
300 - Added "equiv_opt" pass
301 - Added "flowmap" LUT mapping pass
302 - Added "rename -wire" to rename cells based on the wires they drive
303 - Added "bugpoint" for creating minimised testcases
304 - Added "write_edif -gndvccy"
305 - "write_verilog" to escape Verilog keywords
306 - Fixed sign handling of real constants
307 - "write_verilog" to write initial statement for initial flop state
308 - Added pmgen pattern matcher generator
309 - Fixed opt_rmdff handling of $_DFFSR_???_ and $_DLATCHSR_???_
310 - Added "setundef -params" to replace undefined cell parameters
311 - Renamed "yosys -D" to "yosys -U", added "yosys -D" to set Verilog defines
312 - Fixed handling of defparam when default_nettype is none
313 - Fixed "wreduce" flipflop handling
314 - Fixed FIRRTL to Verilog process instance subfield assignment
315 - Added "write_verilog -siminit"
316 - Several fixes and improvements for mem2reg memories
317 - Fixed handling of task output ports in clocked always blocks
318 - Improved handling of and-with-1 and or-with-0 in "opt_expr"
319 - Added "read_aiger" frontend
320 - Added "mutate" pass
321 - Added "hdlname" attribute
322 - Added "rename -output"
323 - Added "read_ilang -lib"
324 - Improved "proc" full_case detection and handling
325 - Added "whitebox" and "lib_whitebox" attributes
326 - Added "read_verilog -nowb", "flatten -wb" and "wbflip"
327 - Added Python bindings and support for Python plug-ins
328 - Added "pmux2shiftx"
329 - Added log_debug framework for reduced default verbosity
330 - Improved "opt_expr" and "opt_clean" handling of (partially) undriven and/or unused wires
331 - Added "peepopt" peephole optimisation pass using pmgen
332 - Added approximate support for SystemVerilog "var" keyword
333 - Added parsing of "specify" blocks into $specrule and $specify[23]
334 - Added support for attributes on parameters and localparams
335 - Added support for parsing attributes on port connections
336 - Added "wreduce -keepdc"
337 - Added support for optimising $dffe and $_DFFE_* cells in "opt_rmdff"
338 - Added Verilog wand/wor wire type support
339 - Added support for elaboration system tasks
340 - Added "muxcover -mux{4,8,16}=<cost>"
341 - Added "muxcover -dmux=<cost>"
342 - Added "muxcover -nopartial"
343 - Added "muxpack" pass
344 - Added "pmux2shiftx -norange"
345 - Added support for "~" in filename parsing
346 - Added "read_verilog -pwires" feature to turn parameters into wires
347 - Fixed sign extension of unsized constants with 'bx and 'bz MSB
348 - Fixed genvar to be a signed type
349 - Added support for attributes on case rules
350 - Added "upto" and "offset" to JSON frontend and backend
351 - Several liberty file parser improvements
352 - Fixed handling of more complex BRAM patterns
353 - Add "write_aiger -I -O -B"
354
355 * Formal Verification
356 - Added $changed support to read_verilog
357 - Added "read_verilog -noassert -noassume -assert-assumes"
358 - Added btor ops for $mul, $div, $mod and $concat
359 - Added yosys-smtbmc support for btor witnesses
360 - Added "supercover" pass
361 - Fixed $global_clock handling vs autowire
362 - Added $dffsr support to "async2sync"
363 - Added "fmcombine" pass
364 - Added memory init support in "write_btor"
365 - Added "cutpoint" pass
366 - Changed "ne" to "neq" in btor2 output
367 - Added support for SVA "final" keyword
368 - Added "fmcombine -initeq -anyeq"
369 - Added timescale and generated-by header to yosys-smtbmc vcd output
370 - Improved BTOR2 handling of undriven wires
371
372 * Verific support
373 - Enabled Verific flags vhdl_support_variable_slice and veri_elaborate_top_level_modules_having_interface_ports
374 - Improved support for asymmetric memories
375 - Added "verific -chparam"
376 - Fixed "verific -extnets" for more complex situations
377 - Added "read -verific" and "read -noverific"
378 - Added "hierarchy -chparam"
379
380 * New back-ends
381 - Added initial Anlogic support
382 - Added initial SmartFusion2 and IGLOO2 support
383
384 * ECP5 support
385 - Added "synth_ecp5 -nowidelut"
386 - Added BRAM inference support to "synth_ecp5"
387 - Added support for transforming Diamond IO and flipflop primitives
388
389 * iCE40 support
390 - Added "ice40_unlut" pass
391 - Added "synth_ice40 -relut"
392 - Added "synth_ice40 -noabc"
393 - Added "synth_ice40 -dffe_min_ce_use"
394 - Added DSP inference support using pmgen
395 - Added support for initialising BRAM primitives from a file
396 - Added iCE40 Ultra RGB LED driver cells
397
398 * Xilinx support
399 - Use "write_edif -pvector bra" for Xilinx EDIF files
400 - Fixes for VPR place and route support with "synth_xilinx"
401 - Added more cell simulation models
402 - Added "synth_xilinx -family"
403 - Added "stat -tech xilinx" to estimate logic cell usage
404 - Added "synth_xilinx -nocarry"
405 - Added "synth_xilinx -nowidelut"
406 - "synth_xilinx" to now infer hard shift registers (-nosrl to disable)
407 - Added support for mapping RAM32X1D
408
409 Yosys 0.7 .. Yosys 0.8
410 ----------------------
411
412 * Various
413 - Many bugfixes and small improvements
414 - Strip debug symbols from installed binary
415 - Replace -ignore_redef with -[no]overwrite in front-ends
416 - Added write_verilog hex dump support, add -nohex option
417 - Added "write_verilog -decimal"
418 - Added "scc -set_attr"
419 - Added "verilog_defines" command
420 - Remember defines from one read_verilog to next
421 - Added support for hierarchical defparam
422 - Added FIRRTL back-end
423 - Improved ABC default scripts
424 - Added "design -reset-vlog"
425 - Added "yosys -W regex", "yosys -w regex", and "yosys -e regex"
426 - Added Verilog $rtoi and $itor support
427 - Added "check -initdrv"
428 - Added "read_blif -wideports"
429 - Added support for SystemVerilog "++" and "--" operators
430 - Added support for SystemVerilog unique, unique0, and priority case
431 - Added "write_edif" options for edif "flavors"
432 - Added support for resetall compiler directive
433 - Added simple C beck-end (bitwise combinatorical only atm)
434 - Added $_ANDNOT_ and $_ORNOT_ cell types
435 - Added cell library aliases to "abc -g"
436 - Added "setundef -anyseq"
437 - Added "chtype" command
438 - Added "design -import"
439 - Added "write_table" command
440 - Added "read_json" command
441 - Added "sim" command
442 - Added "extract_fa" and "extract_reduce" commands
443 - Added "extract_counter" command
444 - Added "opt_demorgan" command
445 - Added support for $size and $bits SystemVerilog functions
446 - Added "blackbox" command
447 - Added "ltp" command
448 - Added support for editline as replacement for readline
449 - Added warnings for driver-driver conflicts between FFs (and other cells) and constants
450 - Added "yosys -E" for creating Makefile dependencies files
451 - Added "synth -noshare"
452 - Added "memory_nordff"
453 - Added "setundef -undef -expose -anyconst"
454 - Added "expose -input"
455 - Added specify/specparam parser support (simply ignore them)
456 - Added "write_blif -inames -iattr"
457 - Added "hierarchy -simcheck"
458 - Added an option to statically link abc into yosys
459 - Added protobuf back-end
460 - Added BLIF parsing support for .conn and .cname
461 - Added read_verilog error checking for reg/wire/logic misuse
462 - Added "make coverage" and ENABLE_GCOV build option
463
464 * Changes in Yosys APIs
465 - Added ConstEval defaultval feature
466 - Added {get,set}_src_attribute() methods on RTLIL::AttrObject
467 - Added SigSpec::is_fully_ones() and Const::is_fully_ones()
468 - Added log_file_warning() and log_file_error() functions
469
470 * Formal Verification
471 - Added "write_aiger"
472 - Added "yosys-smtbmc --aig"
473 - Added "always <positive_int>" to .smtc format
474 - Added $cover cell type and support for cover properties
475 - Added $fair/$live cell type and support for liveness properties
476 - Added smtbmc support for memory vcd dumping
477 - Added "chformal" command
478 - Added "write_smt2 -stbv" and "write_smt2 -stdt"
479 - Fix equiv_simple, old behavior now available with "equiv_simple -short"
480 - Change to Yices2 as default SMT solver (it is GPL now)
481 - Added "yosys-smtbmc --presat" (now default in SymbiYosys)
482 - Added "yosys-smtbmc --smtc-init --smtc-top --noinit"
483 - Added a brand new "write_btor" command for BTOR2
484 - Added clk2fflogic memory support and other improvements
485 - Added "async memory write" support to write_smt2
486 - Simulate clock toggling in yosys-smtbmc VCD output
487 - Added $allseq/$allconst cells for EA-solving
488 - Make -nordff the default in "prep"
489 - Added (* gclk *) attribute
490 - Added "async2sync" pass for single-clock designs with async resets
491
492 * Verific support
493 - Many improvements in Verific front-end
494 - Added proper handling of concurent SVA properties
495 - Map "const" and "rand const" to $anyseq/$anyconst
496 - Added "verific -import -flatten" and "verific -import -extnets"
497 - Added "verific -vlog-incdir -vlog-define -vlog-libdir"
498 - Remove PSL support (because PSL has been removed in upstream Verific)
499 - Improve integration with "hierarchy" command design elaboration
500 - Added YOSYS_NOVERIFIC for running non-verific test cases with verific bin
501 - Added simpilied "read" command that automatically uses verific if available
502 - Added "verific -set-<severity> <msg_id>.."
503 - Added "verific -work <libname>"
504
505 * New back-ends
506 - Added initial Coolrunner-II support
507 - Added initial eASIC support
508 - Added initial ECP5 support
509
510 * GreenPAK Support
511 - Added support for GP_DLATCH, GP_SPI, GP_DCMx, GP_COUNTx, etc.
512
513 * iCE40 Support
514 - Add "synth_ice40 -vpr"
515 - Add "synth_ice40 -nodffe"
516 - Add "synth_ice40 -json"
517 - Add Support for UltraPlus cells
518
519 * MAX10 and Cyclone IV Support
520 - Added initial version of metacommand "synth_intel".
521 - Improved write_verilog command to produce VQM netlist for Quartus Prime.
522 - Added support for MAX10 FPGA family synthesis.
523 - Added support for Cyclone IV family synthesis.
524 - Added example of implementation for DE2i-150 board.
525 - Added example of implementation for MAX10 development kit.
526 - Added LFSR example from Asic World.
527 - Added "dffinit -highlow" for mapping to Intel primitives
528
529
530 Yosys 0.6 .. Yosys 0.7
531 ----------------------
532
533 * Various
534 - Added "yosys -D" feature
535 - Added support for installed plugins in $(DATDIR)/plugins/
536 - Renamed opt_const to opt_expr
537 - Renamed opt_share to opt_merge
538 - Added "prep -flatten" and "synth -flatten"
539 - Added "prep -auto-top" and "synth -auto-top"
540 - Using "mfs" and "lutpack" in ABC lut mapping
541 - Support for abstract modules in chparam
542 - Cleanup abstract modules at end of "hierarchy -top"
543 - Added tristate buffer support to iopadmap
544 - Added opt_expr support for div/mod by power-of-two
545 - Added "select -assert-min <N> -assert-max <N>"
546 - Added "attrmvcp" pass
547 - Added "attrmap" command
548 - Added "tee +INT -INT"
549 - Added "zinit" pass
550 - Added "setparam -type"
551 - Added "shregmap" pass
552 - Added "setundef -init"
553 - Added "nlutmap -assert"
554 - Added $sop cell type and "abc -sop -I <num> -P <num>"
555 - Added "dc2" to default ABC scripts
556 - Added "deminout"
557 - Added "insbuf" command
558 - Added "prep -nomem"
559 - Added "opt_rmdff -keepdc"
560 - Added "prep -nokeepdc"
561 - Added initial version of "synth_gowin"
562 - Added "fsm_expand -full"
563 - Added support for fsm_encoding="user"
564 - Many improvements in GreenPAK4 support
565 - Added black box modules for all Xilinx 7-series lib cells
566 - Added synth_ice40 support for latches via logic loops
567 - Fixed ice40_opt lut unmapping, added "ice40_opt -unlut"
568
569 * Build System
570 - Added ABCEXTERNAL and ABCURL make variables
571 - Added BINDIR, LIBDIR, and DATDIR make variables
572 - Added PKG_CONFIG make variable
573 - Added SEED make variable (for "make test")
574 - Added YOSYS_VER_STR make variable
575 - Updated min GCC requirement to GCC 4.8
576 - Updated required Bison version to Bison 3.x
577
578 * Internal APIs
579 - Added ast.h to exported headers
580 - Added ScriptPass helper class for script-like passes
581 - Added CellEdgesDatabase API
582
583 * Front-ends and Back-ends
584 - Added filename glob support to all front-ends
585 - Added avail (black-box) module params to ilang format
586 - Added $display %m support
587 - Added support for $stop Verilog system task
588 - Added support for SystemVerilog packages
589 - Fixed procedural assignments to non-unique lvalues, e.g. {y,y} = {a,b}
590 - Added support for "active high" and "active low" latches in read_blif and write_blif
591 - Use init value "2" for all uninitialized FFs in BLIF back-end
592 - Added "read_blif -sop"
593 - Added "write_blif -noalias"
594 - Added various write_blif options for VTR support
595 - write_json: also write module attributes.
596 - Added "write_verilog -nodec -nostr -defparam"
597 - Added "read_verilog -norestrict -assume-asserts"
598 - Added support for bus interfaces to "read_liberty -lib"
599 - Added liberty parser support for types within cell decls
600 - Added "write_verilog -renameprefix -v"
601 - Added "write_edif -nogndvcc"
602
603 * Formal Verification
604 - Support for hierarchical designs in smt2 back-end
605 - Yosys-smtbmc: Support for hierarchical VCD dumping
606 - Added $initstate cell type and vlog function
607 - Added $anyconst and $anyseq cell types and vlog functions
608 - Added printing of code loc of failed asserts to yosys-smtbmc
609 - Added memory_memx pass, "memory -memx", and "prep -memx"
610 - Added "proc_mux -ifx"
611 - Added "yosys-smtbmc -g"
612 - Deprecated "write_smt2 -regs" (by default on now)
613 - Made "write_smt2 -bv -mem" default, added "write_smt2 -nobv -nomem"
614 - Added support for memories to smtio.py
615 - Added "yosys-smtbmc --dump-vlogtb"
616 - Added "yosys-smtbmc --smtc --dump-smtc"
617 - Added "yosys-smtbmc --dump-all"
618 - Added assertpmux command
619 - Added "yosys-smtbmc --unroll"
620 - Added $past, $stable, $rose, $fell SVA functions
621 - Added "yosys-smtbmc --noinfo and --dummy"
622 - Added "yosys-smtbmc --noincr"
623 - Added "yosys-smtbmc --cex <filename>"
624 - Added $ff and $_FF_ cell types
625 - Added $global_clock verilog syntax support for creating $ff cells
626 - Added clk2fflogic
627
628
629 Yosys 0.5 .. Yosys 0.6
630 ----------------------
631
632 * Various
633 - Added Contributor Covenant Code of Conduct
634 - Various improvements in dict<> and pool<>
635 - Added hashlib::mfp and refactored SigMap
636 - Improved support for reals as module parameters
637 - Various improvements in SMT2 back-end
638 - Added "keep_hierarchy" attribute
639 - Verilog front-end: define `BLACKBOX in -lib mode
640 - Added API for converting internal cells to AIGs
641 - Added ENABLE_LIBYOSYS Makefile option
642 - Removed "techmap -share_map" (use "-map +/filename" instead)
643 - Switched all Python scripts to Python 3
644 - Added support for $display()/$write() and $finish() to Verilog front-end
645 - Added "yosys-smtbmc" formal verification flow
646 - Added options for clang sanitizers to Makefile
647
648 * New commands and options
649 - Added "scc -expect <N> -nofeedback"
650 - Added "proc_dlatch"
651 - Added "check"
652 - Added "select %xe %cie %coe %M %C %R"
653 - Added "sat -dump_json" (WaveJSON format)
654 - Added "sat -tempinduct-baseonly -tempinduct-inductonly"
655 - Added "sat -stepsize" and "sat -tempinduct-step"
656 - Added "sat -show-regs -show-public -show-all"
657 - Added "write_json" (Native Yosys JSON format)
658 - Added "write_blif -attr"
659 - Added "dffinit"
660 - Added "chparam"
661 - Added "muxcover"
662 - Added "pmuxtree"
663 - Added memory_bram "make_outreg" feature
664 - Added "splice -wires"
665 - Added "dff2dffe -direct-match"
666 - Added simplemap $lut support
667 - Added "read_blif"
668 - Added "opt_share -share_all"
669 - Added "aigmap"
670 - Added "write_smt2 -mem -regs -wires"
671 - Added "memory -nordff"
672 - Added "write_smv"
673 - Added "synth -nordff -noalumacc"
674 - Added "rename -top new_name"
675 - Added "opt_const -clkinv"
676 - Added "synth -nofsm"
677 - Added "miter -assert"
678 - Added "read_verilog -noautowire"
679 - Added "read_verilog -nodpi"
680 - Added "tribuf"
681 - Added "lut2mux"
682 - Added "nlutmap"
683 - Added "qwp"
684 - Added "test_cell -noeval"
685 - Added "edgetypes"
686 - Added "equiv_struct"
687 - Added "equiv_purge"
688 - Added "equiv_mark"
689 - Added "equiv_add -try -cell"
690 - Added "singleton"
691 - Added "abc -g -luts"
692 - Added "torder"
693 - Added "write_blif -cname"
694 - Added "submod -copy"
695 - Added "dffsr2dff"
696 - Added "stat -liberty"
697
698 * Synthesis metacommands
699 - Various improvements in synth_xilinx
700 - Added synth_ice40 and synth_greenpak4
701 - Added "prep" metacommand for "synthesis lite"
702
703 * Cell library changes
704 - Added cell types to "help" system
705 - Added $meminit cell type
706 - Added $assume cell type
707 - Added $_MUX4_, $_MUX8_, and $_MUX16_ cells
708 - Added $tribuf and $_TBUF_ cell types
709 - Added read-enable to memory model
710
711 * YosysJS
712 - Various improvements in emscripten build
713 - Added alternative webworker-based JS API
714 - Added a few example applications
715
716
717 Yosys 0.4 .. Yosys 0.5
718 ----------------------
719
720 * API changes
721 - Added log_warning()
722 - Added eval_select_args() and eval_select_op()
723 - Added cell->known(), cell->input(portname), cell->output(portname)
724 - Skip blackbox modules in design->selected_modules()
725 - Replaced std::map<> and std::set<> with dict<> and pool<>
726 - New SigSpec::extend() is what used to be SigSpec::extend_u0()
727 - Added YS_OVERRIDE, YS_FINAL, YS_ATTRIBUTE, YS_NORETURN
728
729 * Cell library changes
730 - Added flip-flops with enable ($dffe etc.)
731 - Added $equiv cells for equivalence checking framework
732
733 * Various
734 - Updated ABC to hg rev 61ad5f908c03
735 - Added clock domain partitioning to ABC pass
736 - Improved plugin building (see "yosys-config --build")
737 - Added ENABLE_NDEBUG Makefile flag for high-performance builds
738 - Added "yosys -d", "yosys -L" and other driver improvements
739 - Added support for multi-bit (array) cell ports to "write_edif"
740 - Now printing most output to stdout, not stderr
741 - Added "onehot" attribute (set by "fsm_map")
742 - Various performance improvements
743 - Vastly improved Xilinx flow
744 - Added "make unsintall"
745
746 * Equivalence checking
747 - Added equivalence checking commands:
748 equiv_make equiv_simple equiv_status
749 equiv_induct equiv_miter
750 equiv_add equiv_remove
751
752 * Block RAM support:
753 - Added "memory_bram" command
754 - Added BRAM support to Xilinx flow
755
756 * Other New Commands and Options
757 - Added "dff2dffe"
758 - Added "fsm -encfile"
759 - Added "dfflibmap -prepare"
760 - Added "write_blid -unbuf -undef -blackbox"
761 - Added "write_smt2" for writing SMT-LIBv2 files
762 - Added "test_cell -w -muxdiv"
763 - Added "select -read"
764
765
766 Yosys 0.3.0 .. Yosys 0.4
767 ------------------------
768
769 * Platform Support
770 - Added support for mxe-based cross-builds for win32
771 - Added sourcecode-export as VisualStudio project
772 - Added experimental EMCC (JavaScript) support
773
774 * Verilog Frontend
775 - Added -sv option for SystemVerilog (and automatic *.sv file support)
776 - Added support for real-valued constants and constant expressions
777 - Added support for non-standard "via_celltype" attribute on task/func
778 - Added support for non-standard "module mod_name(...);" syntax
779 - Added support for non-standard """ macro bodies
780 - Added support for array with more than one dimension
781 - Added support for $readmemh and $readmemb
782 - Added support for DPI functions
783
784 * Changes in internal cell library
785 - Added $shift and $shiftx cell types
786 - Added $alu, $lcu, $fa and $macc cell types
787 - Removed $bu0 and $safe_pmux cell types
788 - $mem/$memwr WR_EN input is now a per-data-bit enable signal
789 - Added $_NAND_ $_NOR_ $_XNOR_ $_AOI3_ $_OAI3_ $_AOI4_ $_OAI4_
790 - Renamed ports of $lut cells (from I->O to A->Y)
791 - Renamed $_INV_ to $_NOT_
792
793 * Changes for simple synthesis flows
794 - There is now a "synth" command with a recommended default script
795 - Many improvements in synthesis of arithmetic functions to gates
796 - Multipliers and adders with many operands are using carry-save adder trees
797 - Remaining adders are now implemented using Brent-Kung carry look-ahead adders
798 - Various new high-level optimizations on RTL netlist
799 - Various improvements in FSM optimization
800 - Updated ABC to hg 5b5af75f1dda (from 2014-11-07)
801
802 * Changes in internal APIs and RTLIL
803 - Added log_id() and log_cell() helper functions
804 - Added function-like cell creation helpers
805 - Added GetSize() function (like .size() but with int)
806 - Major refactoring of RTLIL::Module and related classes
807 - Major refactoring of RTLIL::SigSpec and related classes
808 - Now RTLIL::IdString is essentially an int
809 - Added macros for code coverage counters
810 - Added some Makefile magic for pretty make logs
811 - Added "kernel/yosys.h" with all the core definitions
812 - Changed a lot of code from FILE* to c++ streams
813 - Added RTLIL::Monitor API and "trace" command
814 - Added "Yosys" C++ namespace
815
816 * Changes relevant to SAT solving
817 - Added ezSAT::keep_cnf() and ezSAT::non_incremental()
818 - Added native ezSAT support for vector shift ops
819 - Updated MiniSAT to git 37dc6c67e2 (from 2013-09-25)
820
821 * New commands (or large improvements to commands)
822 - Added "synth" command with default script
823 - Added "share" (finally some real resource sharing)
824 - Added "memory_share" (reduce number of ports on memories)
825 - Added "wreduce" and "alumacc" commands
826 - Added "opt -keepdc -fine -full -fast"
827 - Added some "test_*" commands
828
829 * Various other changes
830 - Added %D and %c select operators
831 - Added support for labels in yosys scripts
832 - Added support for here-documents in yosys scripts
833 - Support "+/" prefix for files from proc_share_dir
834 - Added "autoidx" statement to ilang language
835 - Switched from "yosys-svgviewer" to "xdot"
836 - Renamed "stdcells.v" to "techmap.v"
837 - Various bug fixes and small improvements
838 - Improved welcome and bye messages
839
840
841 Yosys 0.2.0 .. Yosys 0.3.0
842 --------------------------
843
844 * Driver program and overall behavior:
845 - Added "design -push" and "design -pop"
846 - Added "tee" command for redirecting log output
847
848 * Changes in the internal cell library:
849 - Added $dlatchsr and $_DLATCHSR_???_ cell types
850
851 * Improvements in Verilog frontend:
852 - Improved support for const functions (case, always, repeat)
853 - The generate..endgenerate keywords are now optional
854 - Added support for arrays of module instances
855 - Added support for "`default_nettype" directive
856 - Added support for "`line" directive
857
858 * Other front- and back-ends:
859 - Various changes to "write_blif" options
860 - Various improvements in EDIF backend
861 - Added "vhdl2verilog" pseudo-front-end
862 - Added "verific" pseudo-front-end
863
864 * Improvements in technology mapping:
865 - Added support for recursive techmap
866 - Added CONSTMSK and CONSTVAL features to techmap
867 - Added _TECHMAP_CONNMAP_*_ feature to techmap
868 - Added _TECHMAP_REPLACE_ feature to techmap
869 - Added "connwrappers" command for wrap-extract-unwrap method
870 - Added "extract -map %<design_name>" feature
871 - Added "extract -ignore_param ..." and "extract -ignore_parameters"
872 - Added "techmap -max_iter" option
873
874 * Improvements to "eval" and "sat" framework:
875 - Now include a copy of Minisat (with build fixes applied)
876 - Switched to Minisat::SimpSolver as SAT back-end
877 - Added "sat -dump_vcd" feature
878 - Added "sat -dump_cnf" feature
879 - Added "sat -initsteps <N>" feature
880 - Added "freduce -stop <N>" feature
881 - Added "freduce -dump <prefix>" feature
882
883 * Integration with ABC:
884 - Updated ABC rev to 7600ffb9340c
885
886 * Improvements in the internal APIs:
887 - Added RTLIL::Module::add... helper methods
888 - Various build fixes for OSX (Darwin) and OpenBSD
889
890
891 Yosys 0.1.0 .. Yosys 0.2.0
892 --------------------------
893
894 * Changes to the driver program:
895 - Added "yosys -h" and "yosys -H"
896 - Added support for backslash line continuation in scripts
897 - Added support for #-comments in same line as command
898 - Added "echo" and "log" commands
899
900 * Improvements in Verilog frontend:
901 - Added support for local registers in named blocks
902 - Added support for "case" in "generate" blocks
903 - Added support for $clog2 system function
904 - Added support for basic SystemVerilog assert statements
905 - Added preprocessor support for macro arguments
906 - Added preprocessor support for `elsif statement
907 - Added "verilog_defaults" command
908 - Added read_verilog -icells option
909 - Added support for constant sizes from parameters
910 - Added "read_verilog -setattr"
911 - Added support for function returning 'integer'
912 - Added limited support for function calls in parameter values
913 - Added "read_verilog -defer" to suppress evaluation of modules with default parameters
914
915 * Other front- and back-ends:
916 - Added BTOR backend
917 - Added Liberty frontend
918
919 * Improvements in technology mapping:
920 - The "dfflibmap" command now strongly prefers solutions with
921 no inverters in clock paths
922 - The "dfflibmap" command now prefers cells with smaller area
923 - Added support for multiple -map options to techmap
924 - Added "dfflibmap" support for //-comments in liberty files
925 - Added "memory_unpack" command to revert "memory_collect"
926 - Added standard techmap rule "techmap -share_map pmux2mux.v"
927 - Added "iopadmap -bits"
928 - Added "setundef" command
929 - Added "hilomap" command
930
931 * Changes in the internal cell library:
932 - Major rewrite of simlib.v for better compatibility with other tools
933 - Added PRIORITY parameter to $memwr cells
934 - Added TRANSPARENT parameter to $memrd cells
935 - Added RD_TRANSPARENT parameter to $mem cells
936 - Added $bu0 cell (always 0-extend, even undef MSB)
937 - Added $assert cell type
938 - Added $slice and $concat cell types
939
940 * Integration with ABC:
941 - Updated ABC to hg rev 2058c8ccea68
942 - Tighter integration of ABC build with Yosys build. The make
943 targets 'make abc' and 'make install-abc' are now obsolete.
944 - Added support for passing FFs from one clock domain through ABC
945 - Now always use BLIF as exchange format with ABC
946 - Added support for "abc -script +<command_sequence>"
947 - Improved standard ABC recipe
948 - Added support for "keep" attribute to abc command
949 - Added "abc -dff / -clk / -keepff" options
950
951 * Improvements to "eval" and "sat" framework:
952 - Added support for "0" and "~0" in right-hand side -set expressions
953 - Added "eval -set-undef" and "eval -table"
954 - Added "sat -set-init" and "sat -set-init-*" for sequential problems
955 - Added undef support to SAT solver, incl. various new "sat" options
956 - Added correct support for === and !== for "eval" and "sat"
957 - Added "sat -tempinduct" (default -seq is now non-induction sequential)
958 - Added "sat -prove-asserts"
959 - Complete rewrite of the 'freduce' command
960 - Added "miter" command
961 - Added "sat -show-inputs" and "sat -show-outputs"
962 - Added "sat -ignore_unknown_cells" (now produce an error by default)
963 - Added "sat -falsify"
964 - Now "sat -verify" and "sat -falsify" can also be used without "-prove"
965 - Added "expose" command
966 - Added support for @<sel_name> to sat and eval signal expressions
967
968 * Changes in the 'make test' framework and auxiliary test tools:
969 - Added autotest.sh -p and -f options
970 - Replaced autotest.sh ISIM support with XSIM support
971 - Added test cases for SAT framework
972
973 * Added "abbreviated IDs":
974 - Now $<something>$foo can be abbreviated as $foo.
975 - Usually this last part is a unique id (from RTLIL::autoidx)
976 - This abbreviated IDs are now also used in "show" output
977
978 * Other changes to selection framework:
979 - Now */ is optional in */<mode>:<arg> expressions
980 - Added "select -assert-none" and "select -assert-any"
981 - Added support for matching modules by attribute (A:<expr>)
982 - Added "select -none"
983 - Added support for r:<expr> pattern for matching cell parameters
984 - Added support for !=, <, <=, >=, > for attribute and parameter matching
985 - Added support for %s for selecting sub-modules
986 - Added support for %m for expanding selections to whole modules
987 - Added support for i:*, o:* and x:* pattern for selecting module ports
988 - Added support for s:<expr> pattern for matching wire width
989 - Added support for %a operation to select wire aliases
990
991 * Various other changes to commands and options:
992 - The "ls" command now supports wildcards
993 - Added "show -pause" and "show -format dot"
994 - Added "show -color" support for cells
995 - Added "show -label" and "show -notitle"
996 - Added "dump -m" and "dump -n"
997 - Added "history" command
998 - Added "rename -hide"
999 - Added "connect" command
1000 - Added "splitnets -driver"
1001 - Added "opt_const -mux_undef"
1002 - Added "opt_const -mux_bool"
1003 - Added "opt_const -undriven"
1004 - Added "opt -mux_undef -mux_bool -undriven -purge"
1005 - Added "hierarchy -libdir"
1006 - Added "hierarchy -purge_lib" (by default now do not remove lib cells)
1007 - Added "delete" command
1008 - Added "dump -append"
1009 - Added "setattr" and "setparam" commands
1010 - Added "design -stash/-copy-from/-copy-to"
1011 - Added "copy" command
1012 - Added "splice" command
1013