verilog: fix const func eval with upto variables
[yosys.git] / CHANGELOG
1
2 List of major changes and improvements between releases
3 =======================================================
4
5 Yosys 0.14 .. Yosys 0.14-dev
6 --------------------------
7
8 * Verilog
9 - Fixed evaluation of constant functions with variables or arguments with
10 reversed dimensions
11
12 Yosys 0.13 .. Yosys 0.14
13 --------------------------
14
15 * Various
16 - Added $bmux and $demux cells and related optimization patterns.
17
18 * New commands and options
19 - Added "bmuxmap" and "dmuxmap" passes
20 - Added "-fst" option to "sim" pass for writing FST files
21 - Added "-r", "-scope", "-start", "-stop", "-at", "-sim", "-sim-gate",
22 "-sim-gold" options to "sim" pass for co-simulation
23
24 * Anlogic support
25 - Added support for BRAMs
26
27 Yosys 0.12 .. Yosys 0.13
28 --------------------------
29
30 * Various
31 - Use "read" command to parse HDL files from Yosys command-line
32 - Added "yosys -r <topmodule>" command line option
33 - write_verilog: dump zero width sigspecs correctly
34
35 * SystemVerilog
36 - Fixed regression preventing the use array querying functions in case
37 expressions and case item expressions
38 - Fixed static size casts inadvertently limiting the result width of binary
39 operations
40 - Fixed static size casts ignoring expression signedness
41 - Fixed static size casts not extending unbased unsized literals
42 - Added automatic `nosync` inference for local variables in `always_comb`
43 procedures which are always assigned before they are used to avoid errant
44 latch inference
45
46 * New commands and options
47 - Added "clean_zerowidth" pass
48
49 * Verific support
50 - Add YOSYS to the implicitly defined verilog macros in verific
51
52 Yosys 0.11 .. Yosys 0.12
53 --------------------------
54
55 * Various
56 - Added iopadmap native support for negative-polarity output enable
57 - ABC update
58
59 * SystemVerilog
60 - Support parameters using struct as a wiretype
61
62 * New commands and options
63 - Added "-genlib" option to "abc" pass
64 - Added "sta" very crude static timing analysis pass
65
66 * Verific support
67 - Fixed memory block size in import
68
69 * New back-ends
70 - Added support for GateMate FPGA from Cologne Chip AG
71
72 * Intel ALM support
73 - Added preliminary Arria V support
74
75
76 Yosys 0.10 .. Yosys 0.11
77 --------------------------
78
79 * Various
80 - Added $aldff and $aldffe (flip-flops with async load) cells
81
82 * SystemVerilog
83 - Fixed an issue which prevented writing directly to a memory word via a
84 connection to an output port
85 - Fixed an issue which prevented unbased unsized literals (e.g., `'1`) from
86 filling the width of a cell input
87 - Fixed an issue where connecting a slice covering the entirety of a signed
88 signal to a cell input would cause a failed assertion
89
90 * Verific support
91 - Importer support for {PRIM,WIDE_OPER}_DFF
92 - Importer support for PRIM_BUFIF1
93 - Option to use Verific without VHDL support
94 - Importer support for {PRIM,WIDE_OPER}_DLATCH{,RS}
95 - Added -cfg option for getting/setting Verific runtime flags
96
97 Yosys 0.9 .. Yosys 0.10
98 --------------------------
99
100 * Various
101 - Added automatic gzip decompression for frontends
102 - Added $_NMUX_ cell type
103 - Added automatic gzip compression (based on filename extension) for backends
104 - Improve attribute and parameter encoding in JSON to avoid ambiguities between
105 bit vectors and strings containing [01xz]*
106 - Improvements in pmgen: subpattern and recursive matches
107 - Support explicit FIRRTL properties
108 - Improvements in pmgen: slices, choices, define, generate
109 - Added "_TECHMAP_WIREINIT_*_" parameter and "_TECHMAP_REMOVEINIT_*_" wire for "techmap" pass
110 - Added +/mul2dsp.v for decomposing wide multipliers to custom-sized ones
111 - Added new frontend: rpc
112 - Added --version and -version as aliases for -V
113 - Improve yosys-smtbmc "solver not found" handling
114 - Improved support of $readmem[hb] Memory Content File inclusion
115 - Added CXXRTL backend
116 - Use YosysHQ/abc instead of upstream berkeley-abc/abc
117 - Added WASI platform support.
118 - Added extmodule support to firrtl backend
119 - Added $divfloor and $modfloor cells
120 - Added $adffe, $dffsre, $sdff, $sdffe, $sdffce, $adlatch cells
121 - Added "_TECHMAP_CELLNAME_" parameter for "techmap" pass
122 - Added firrtl backend support for generic parameters in blackbox components
123 - Added $meminit_v2 cells (with support for write mask)
124 - Added $mem_v2, $memrd_v2, $memwr_v2, with the following features:
125 - write priority masks, per write/write port pair
126 - transparency and undefined collision behavior masks, per read/write port pair
127 - read port reset and initialization
128 - wide ports (accessing a naturally aligned power-of-two number of memory cells)
129
130 * New commands and options
131 - Added "write_xaiger" backend
132 - Added "read_xaiger"
133 - Added "abc9" pass for timing-aware techmapping (experimental, FPGA only)
134 - Added "synth -abc9" (experimental)
135 - Added "script -scriptwire"
136 - Added "clkbufmap" pass
137 - Added "extractinv" pass and "invertible_pin" attribute
138 - Added "proc_clean -quiet"
139 - Added "proc_prune" pass
140 - Added "stat -tech cmos"
141 - Added "opt_share" pass, run as part of "opt -full"
142 - Added "-match-init" option to "dff2dffs" pass
143 - Added "equiv_opt -multiclock"
144 - Added "techmap_autopurge" support to techmap
145 - Added "add -mod <modname[s]>"
146 - Added "paramap" pass
147 - Added "portlist" command
148 - Added "check -mapped"
149 - Added "check -allow-tbuf"
150 - Added "autoname" pass
151 - Added "write_verilog -extmem"
152 - Added "opt_mem" pass
153 - Added "scratchpad" pass
154 - Added "fminit" pass
155 - Added "opt_lut_ins" pass
156 - Added "logger" pass
157 - Added "show -nobg"
158 - Added "exec" command
159 - Added "design -delete"
160 - Added "design -push-copy"
161 - Added "qbfsat" command
162 - Added "select -unset"
163 - Added "dfflegalize" pass
164 - Removed "opt_expr -clkinv" option, made it the default
165 - Added "proc -nomux
166 - Merged "dffsr2dff", "opt_rmdff", "dff2dffe", "dff2dffs", "peepopt.dffmux" passes into a new "opt_dff" pass
167
168 * SystemVerilog
169 - Added checking of always block types (always_comb, always_latch and always_ff)
170 - Added support for wildcard port connections (.*)
171 - Added support for enum typedefs
172 - Added support for structs and packed unions.
173 - Allow constant function calls in for loops and generate if and case
174 - Added support for static cast
175 - Added support for logic typed parameters
176 - Fixed generate scoping issues
177 - Added support for real-valued parameters
178 - Allow localparams in constant functions
179 - Module name scope support
180 - Support recursive functions using ternary expressions
181 - Extended support for integer types
182 - Support for parameters without default values
183 - Allow globals in one file to depend on globals in another
184 - Added support for: *=, /=, %=, <<=, >>=, <<<=, >>>=
185 - Added support for parsing the 'bind' construct
186 - support declaration in procedural for initialization
187 - support declaration in generate for initialization
188 - Support wand and wor of data types
189
190 * Verific support
191 - Added "verific -L"
192 - Add Verific SVA support for "always" properties
193 - Add Verific support for SVA nexttime properties
194 - Improve handling of verific primitives in "verific -import -V" mode
195 - Import attributes for wires
196 - Support VHDL enums
197 - Added support for command files
198
199 * New back-ends
200 - Added initial EFINIX support
201 - Added Intel ALM: alternative synthesis for Intel FPGAs
202 - Added initial Nexus support
203 - Added initial MachXO2 support
204 - Added initial QuickLogic PolarPro 3 support
205
206 * ECP5 support
207 - Renamed labels/options in synth_ecp5 (e.g. dram -> map_lutram; -nodram -> -nolutram)
208 - Added "synth_ecp5 -abc9" (experimental)
209 - Added "synth_ecp5 -nowidelut"
210 - "synth_ecp5" to now infer DSP blocks (-nodsp to disable, experimental)
211
212 * iCE40 support
213 - Added "synth_ice40 -abc9" (experimental)
214 - Added "synth_ice40 -device"
215 - Renamed labels/options in synth_ice40 (e.g. dram -> map_lutram; -nodram -> -nolutram)
216 - Added "ice40_wrapcarry" to encapsulate SB_LUT+SB_CARRY pairs for techmapping
217 - Removed "ice40_unlut"
218 - Added "ice40_dsp" for Lattice iCE40 DSP packing
219 - "synth_ice40 -dsp" to infer DSP blocks
220
221 * Xilinx support
222 - Added "synth_xilinx -abc9" (experimental)
223 - Added "synth_xilinx -nocarry"
224 - Added "synth_xilinx -nowidelut"
225 - "synth_xilinx" to now infer wide multiplexers (-widemux <min> to enable)
226 - Renamed labels/options in synth_xilinx (e.g. dram -> map_lutram; -nodram -> -nolutram)
227 - Added "synth_xilinx -family xc6s" for Spartan 6 support (experimental)
228 - Added "synth_xilinx -ise" (experimental)
229 - Added "synth_xilinx -iopad"
230 - "synth_xilinx" now automatically inserts clock buffers (add -noclkbuf to disable)
231 - Added "xilinx_srl" for Xilinx shift register extraction
232 - Removed "shregmap -tech xilinx" (superseded by "xilinx_srl")
233 - Added "xilinx_dsp" for Xilinx DSP packing
234 - "synth_xilinx" to now infer DSP blocks (-nodsp to disable)
235 - Added latch support to synth_xilinx
236 - Added support for flip-flops with synchronous reset to synth_xilinx
237 - Added support for flip-flops with reset and enable to synth_xilinx
238 - Added "xilinx_dffopt" pass
239 - Added "synth_xilinx -dff"
240
241 * Intel support
242 - Renamed labels in synth_intel (e.g. bram -> map_bram)
243 - synth_intel: cyclone10 -> cyclone10lp, a10gx -> arria10gx
244 - Added "intel_alm -abc9" (experimental)
245
246 * CoolRunner2 support
247 - Separate and improve buffer cell insertion pass
248 - Use extract_counter to optimize counters
249
250 Yosys 0.8 .. Yosys 0.9
251 ----------------------
252
253 * Various
254 - Many bugfixes and small improvements
255 - Added support for SystemVerilog interfaces and modports
256 - Added "write_edif -attrprop"
257 - Added "opt_lut" pass
258 - Added "gate2lut.v" techmap rule
259 - Added "rename -src"
260 - Added "equiv_opt" pass
261 - Added "flowmap" LUT mapping pass
262 - Added "rename -wire" to rename cells based on the wires they drive
263 - Added "bugpoint" for creating minimised testcases
264 - Added "write_edif -gndvccy"
265 - "write_verilog" to escape Verilog keywords
266 - Fixed sign handling of real constants
267 - "write_verilog" to write initial statement for initial flop state
268 - Added pmgen pattern matcher generator
269 - Fixed opt_rmdff handling of $_DFFSR_???_ and $_DLATCHSR_???_
270 - Added "setundef -params" to replace undefined cell parameters
271 - Renamed "yosys -D" to "yosys -U", added "yosys -D" to set Verilog defines
272 - Fixed handling of defparam when default_nettype is none
273 - Fixed "wreduce" flipflop handling
274 - Fixed FIRRTL to Verilog process instance subfield assignment
275 - Added "write_verilog -siminit"
276 - Several fixes and improvements for mem2reg memories
277 - Fixed handling of task output ports in clocked always blocks
278 - Improved handling of and-with-1 and or-with-0 in "opt_expr"
279 - Added "read_aiger" frontend
280 - Added "mutate" pass
281 - Added "hdlname" attribute
282 - Added "rename -output"
283 - Added "read_ilang -lib"
284 - Improved "proc" full_case detection and handling
285 - Added "whitebox" and "lib_whitebox" attributes
286 - Added "read_verilog -nowb", "flatten -wb" and "wbflip"
287 - Added Python bindings and support for Python plug-ins
288 - Added "pmux2shiftx"
289 - Added log_debug framework for reduced default verbosity
290 - Improved "opt_expr" and "opt_clean" handling of (partially) undriven and/or unused wires
291 - Added "peepopt" peephole optimisation pass using pmgen
292 - Added approximate support for SystemVerilog "var" keyword
293 - Added parsing of "specify" blocks into $specrule and $specify[23]
294 - Added support for attributes on parameters and localparams
295 - Added support for parsing attributes on port connections
296 - Added "wreduce -keepdc"
297 - Added support for optimising $dffe and $_DFFE_* cells in "opt_rmdff"
298 - Added Verilog wand/wor wire type support
299 - Added support for elaboration system tasks
300 - Added "muxcover -mux{4,8,16}=<cost>"
301 - Added "muxcover -dmux=<cost>"
302 - Added "muxcover -nopartial"
303 - Added "muxpack" pass
304 - Added "pmux2shiftx -norange"
305 - Added support for "~" in filename parsing
306 - Added "read_verilog -pwires" feature to turn parameters into wires
307 - Fixed sign extension of unsized constants with 'bx and 'bz MSB
308 - Fixed genvar to be a signed type
309 - Added support for attributes on case rules
310 - Added "upto" and "offset" to JSON frontend and backend
311 - Several liberty file parser improvements
312 - Fixed handling of more complex BRAM patterns
313 - Add "write_aiger -I -O -B"
314
315 * Formal Verification
316 - Added $changed support to read_verilog
317 - Added "read_verilog -noassert -noassume -assert-assumes"
318 - Added btor ops for $mul, $div, $mod and $concat
319 - Added yosys-smtbmc support for btor witnesses
320 - Added "supercover" pass
321 - Fixed $global_clock handling vs autowire
322 - Added $dffsr support to "async2sync"
323 - Added "fmcombine" pass
324 - Added memory init support in "write_btor"
325 - Added "cutpoint" pass
326 - Changed "ne" to "neq" in btor2 output
327 - Added support for SVA "final" keyword
328 - Added "fmcombine -initeq -anyeq"
329 - Added timescale and generated-by header to yosys-smtbmc vcd output
330 - Improved BTOR2 handling of undriven wires
331
332 * Verific support
333 - Enabled Verific flags vhdl_support_variable_slice and veri_elaborate_top_level_modules_having_interface_ports
334 - Improved support for asymmetric memories
335 - Added "verific -chparam"
336 - Fixed "verific -extnets" for more complex situations
337 - Added "read -verific" and "read -noverific"
338 - Added "hierarchy -chparam"
339
340 * New back-ends
341 - Added initial Anlogic support
342 - Added initial SmartFusion2 and IGLOO2 support
343
344 * ECP5 support
345 - Added "synth_ecp5 -nowidelut"
346 - Added BRAM inference support to "synth_ecp5"
347 - Added support for transforming Diamond IO and flipflop primitives
348
349 * iCE40 support
350 - Added "ice40_unlut" pass
351 - Added "synth_ice40 -relut"
352 - Added "synth_ice40 -noabc"
353 - Added "synth_ice40 -dffe_min_ce_use"
354 - Added DSP inference support using pmgen
355 - Added support for initialising BRAM primitives from a file
356 - Added iCE40 Ultra RGB LED driver cells
357
358 * Xilinx support
359 - Use "write_edif -pvector bra" for Xilinx EDIF files
360 - Fixes for VPR place and route support with "synth_xilinx"
361 - Added more cell simulation models
362 - Added "synth_xilinx -family"
363 - Added "stat -tech xilinx" to estimate logic cell usage
364 - Added "synth_xilinx -nocarry"
365 - Added "synth_xilinx -nowidelut"
366 - "synth_xilinx" to now infer hard shift registers (-nosrl to disable)
367 - Added support for mapping RAM32X1D
368
369 Yosys 0.7 .. Yosys 0.8
370 ----------------------
371
372 * Various
373 - Many bugfixes and small improvements
374 - Strip debug symbols from installed binary
375 - Replace -ignore_redef with -[no]overwrite in front-ends
376 - Added write_verilog hex dump support, add -nohex option
377 - Added "write_verilog -decimal"
378 - Added "scc -set_attr"
379 - Added "verilog_defines" command
380 - Remember defines from one read_verilog to next
381 - Added support for hierarchical defparam
382 - Added FIRRTL back-end
383 - Improved ABC default scripts
384 - Added "design -reset-vlog"
385 - Added "yosys -W regex", "yosys -w regex", and "yosys -e regex"
386 - Added Verilog $rtoi and $itor support
387 - Added "check -initdrv"
388 - Added "read_blif -wideports"
389 - Added support for SystemVerilog "++" and "--" operators
390 - Added support for SystemVerilog unique, unique0, and priority case
391 - Added "write_edif" options for edif "flavors"
392 - Added support for resetall compiler directive
393 - Added simple C beck-end (bitwise combinatorical only atm)
394 - Added $_ANDNOT_ and $_ORNOT_ cell types
395 - Added cell library aliases to "abc -g"
396 - Added "setundef -anyseq"
397 - Added "chtype" command
398 - Added "design -import"
399 - Added "write_table" command
400 - Added "read_json" command
401 - Added "sim" command
402 - Added "extract_fa" and "extract_reduce" commands
403 - Added "extract_counter" command
404 - Added "opt_demorgan" command
405 - Added support for $size and $bits SystemVerilog functions
406 - Added "blackbox" command
407 - Added "ltp" command
408 - Added support for editline as replacement for readline
409 - Added warnings for driver-driver conflicts between FFs (and other cells) and constants
410 - Added "yosys -E" for creating Makefile dependencies files
411 - Added "synth -noshare"
412 - Added "memory_nordff"
413 - Added "setundef -undef -expose -anyconst"
414 - Added "expose -input"
415 - Added specify/specparam parser support (simply ignore them)
416 - Added "write_blif -inames -iattr"
417 - Added "hierarchy -simcheck"
418 - Added an option to statically link abc into yosys
419 - Added protobuf back-end
420 - Added BLIF parsing support for .conn and .cname
421 - Added read_verilog error checking for reg/wire/logic misuse
422 - Added "make coverage" and ENABLE_GCOV build option
423
424 * Changes in Yosys APIs
425 - Added ConstEval defaultval feature
426 - Added {get,set}_src_attribute() methods on RTLIL::AttrObject
427 - Added SigSpec::is_fully_ones() and Const::is_fully_ones()
428 - Added log_file_warning() and log_file_error() functions
429
430 * Formal Verification
431 - Added "write_aiger"
432 - Added "yosys-smtbmc --aig"
433 - Added "always <positive_int>" to .smtc format
434 - Added $cover cell type and support for cover properties
435 - Added $fair/$live cell type and support for liveness properties
436 - Added smtbmc support for memory vcd dumping
437 - Added "chformal" command
438 - Added "write_smt2 -stbv" and "write_smt2 -stdt"
439 - Fix equiv_simple, old behavior now available with "equiv_simple -short"
440 - Change to Yices2 as default SMT solver (it is GPL now)
441 - Added "yosys-smtbmc --presat" (now default in SymbiYosys)
442 - Added "yosys-smtbmc --smtc-init --smtc-top --noinit"
443 - Added a brand new "write_btor" command for BTOR2
444 - Added clk2fflogic memory support and other improvements
445 - Added "async memory write" support to write_smt2
446 - Simulate clock toggling in yosys-smtbmc VCD output
447 - Added $allseq/$allconst cells for EA-solving
448 - Make -nordff the default in "prep"
449 - Added (* gclk *) attribute
450 - Added "async2sync" pass for single-clock designs with async resets
451
452 * Verific support
453 - Many improvements in Verific front-end
454 - Added proper handling of concurent SVA properties
455 - Map "const" and "rand const" to $anyseq/$anyconst
456 - Added "verific -import -flatten" and "verific -import -extnets"
457 - Added "verific -vlog-incdir -vlog-define -vlog-libdir"
458 - Remove PSL support (because PSL has been removed in upstream Verific)
459 - Improve integration with "hierarchy" command design elaboration
460 - Added YOSYS_NOVERIFIC for running non-verific test cases with verific bin
461 - Added simpilied "read" command that automatically uses verific if available
462 - Added "verific -set-<severity> <msg_id>.."
463 - Added "verific -work <libname>"
464
465 * New back-ends
466 - Added initial Coolrunner-II support
467 - Added initial eASIC support
468 - Added initial ECP5 support
469
470 * GreenPAK Support
471 - Added support for GP_DLATCH, GP_SPI, GP_DCMx, GP_COUNTx, etc.
472
473 * iCE40 Support
474 - Add "synth_ice40 -vpr"
475 - Add "synth_ice40 -nodffe"
476 - Add "synth_ice40 -json"
477 - Add Support for UltraPlus cells
478
479 * MAX10 and Cyclone IV Support
480 - Added initial version of metacommand "synth_intel".
481 - Improved write_verilog command to produce VQM netlist for Quartus Prime.
482 - Added support for MAX10 FPGA family synthesis.
483 - Added support for Cyclone IV family synthesis.
484 - Added example of implementation for DE2i-150 board.
485 - Added example of implementation for MAX10 development kit.
486 - Added LFSR example from Asic World.
487 - Added "dffinit -highlow" for mapping to Intel primitives
488
489
490 Yosys 0.6 .. Yosys 0.7
491 ----------------------
492
493 * Various
494 - Added "yosys -D" feature
495 - Added support for installed plugins in $(DATDIR)/plugins/
496 - Renamed opt_const to opt_expr
497 - Renamed opt_share to opt_merge
498 - Added "prep -flatten" and "synth -flatten"
499 - Added "prep -auto-top" and "synth -auto-top"
500 - Using "mfs" and "lutpack" in ABC lut mapping
501 - Support for abstract modules in chparam
502 - Cleanup abstract modules at end of "hierarchy -top"
503 - Added tristate buffer support to iopadmap
504 - Added opt_expr support for div/mod by power-of-two
505 - Added "select -assert-min <N> -assert-max <N>"
506 - Added "attrmvcp" pass
507 - Added "attrmap" command
508 - Added "tee +INT -INT"
509 - Added "zinit" pass
510 - Added "setparam -type"
511 - Added "shregmap" pass
512 - Added "setundef -init"
513 - Added "nlutmap -assert"
514 - Added $sop cell type and "abc -sop -I <num> -P <num>"
515 - Added "dc2" to default ABC scripts
516 - Added "deminout"
517 - Added "insbuf" command
518 - Added "prep -nomem"
519 - Added "opt_rmdff -keepdc"
520 - Added "prep -nokeepdc"
521 - Added initial version of "synth_gowin"
522 - Added "fsm_expand -full"
523 - Added support for fsm_encoding="user"
524 - Many improvements in GreenPAK4 support
525 - Added black box modules for all Xilinx 7-series lib cells
526 - Added synth_ice40 support for latches via logic loops
527 - Fixed ice40_opt lut unmapping, added "ice40_opt -unlut"
528
529 * Build System
530 - Added ABCEXTERNAL and ABCURL make variables
531 - Added BINDIR, LIBDIR, and DATDIR make variables
532 - Added PKG_CONFIG make variable
533 - Added SEED make variable (for "make test")
534 - Added YOSYS_VER_STR make variable
535 - Updated min GCC requirement to GCC 4.8
536 - Updated required Bison version to Bison 3.x
537
538 * Internal APIs
539 - Added ast.h to exported headers
540 - Added ScriptPass helper class for script-like passes
541 - Added CellEdgesDatabase API
542
543 * Front-ends and Back-ends
544 - Added filename glob support to all front-ends
545 - Added avail (black-box) module params to ilang format
546 - Added $display %m support
547 - Added support for $stop Verilog system task
548 - Added support for SystemVerilog packages
549 - Fixed procedural assignments to non-unique lvalues, e.g. {y,y} = {a,b}
550 - Added support for "active high" and "active low" latches in read_blif and write_blif
551 - Use init value "2" for all uninitialized FFs in BLIF back-end
552 - Added "read_blif -sop"
553 - Added "write_blif -noalias"
554 - Added various write_blif options for VTR support
555 - write_json: also write module attributes.
556 - Added "write_verilog -nodec -nostr -defparam"
557 - Added "read_verilog -norestrict -assume-asserts"
558 - Added support for bus interfaces to "read_liberty -lib"
559 - Added liberty parser support for types within cell decls
560 - Added "write_verilog -renameprefix -v"
561 - Added "write_edif -nogndvcc"
562
563 * Formal Verification
564 - Support for hierarchical designs in smt2 back-end
565 - Yosys-smtbmc: Support for hierarchical VCD dumping
566 - Added $initstate cell type and vlog function
567 - Added $anyconst and $anyseq cell types and vlog functions
568 - Added printing of code loc of failed asserts to yosys-smtbmc
569 - Added memory_memx pass, "memory -memx", and "prep -memx"
570 - Added "proc_mux -ifx"
571 - Added "yosys-smtbmc -g"
572 - Deprecated "write_smt2 -regs" (by default on now)
573 - Made "write_smt2 -bv -mem" default, added "write_smt2 -nobv -nomem"
574 - Added support for memories to smtio.py
575 - Added "yosys-smtbmc --dump-vlogtb"
576 - Added "yosys-smtbmc --smtc --dump-smtc"
577 - Added "yosys-smtbmc --dump-all"
578 - Added assertpmux command
579 - Added "yosys-smtbmc --unroll"
580 - Added $past, $stable, $rose, $fell SVA functions
581 - Added "yosys-smtbmc --noinfo and --dummy"
582 - Added "yosys-smtbmc --noincr"
583 - Added "yosys-smtbmc --cex <filename>"
584 - Added $ff and $_FF_ cell types
585 - Added $global_clock verilog syntax support for creating $ff cells
586 - Added clk2fflogic
587
588
589 Yosys 0.5 .. Yosys 0.6
590 ----------------------
591
592 * Various
593 - Added Contributor Covenant Code of Conduct
594 - Various improvements in dict<> and pool<>
595 - Added hashlib::mfp and refactored SigMap
596 - Improved support for reals as module parameters
597 - Various improvements in SMT2 back-end
598 - Added "keep_hierarchy" attribute
599 - Verilog front-end: define `BLACKBOX in -lib mode
600 - Added API for converting internal cells to AIGs
601 - Added ENABLE_LIBYOSYS Makefile option
602 - Removed "techmap -share_map" (use "-map +/filename" instead)
603 - Switched all Python scripts to Python 3
604 - Added support for $display()/$write() and $finish() to Verilog front-end
605 - Added "yosys-smtbmc" formal verification flow
606 - Added options for clang sanitizers to Makefile
607
608 * New commands and options
609 - Added "scc -expect <N> -nofeedback"
610 - Added "proc_dlatch"
611 - Added "check"
612 - Added "select %xe %cie %coe %M %C %R"
613 - Added "sat -dump_json" (WaveJSON format)
614 - Added "sat -tempinduct-baseonly -tempinduct-inductonly"
615 - Added "sat -stepsize" and "sat -tempinduct-step"
616 - Added "sat -show-regs -show-public -show-all"
617 - Added "write_json" (Native Yosys JSON format)
618 - Added "write_blif -attr"
619 - Added "dffinit"
620 - Added "chparam"
621 - Added "muxcover"
622 - Added "pmuxtree"
623 - Added memory_bram "make_outreg" feature
624 - Added "splice -wires"
625 - Added "dff2dffe -direct-match"
626 - Added simplemap $lut support
627 - Added "read_blif"
628 - Added "opt_share -share_all"
629 - Added "aigmap"
630 - Added "write_smt2 -mem -regs -wires"
631 - Added "memory -nordff"
632 - Added "write_smv"
633 - Added "synth -nordff -noalumacc"
634 - Added "rename -top new_name"
635 - Added "opt_const -clkinv"
636 - Added "synth -nofsm"
637 - Added "miter -assert"
638 - Added "read_verilog -noautowire"
639 - Added "read_verilog -nodpi"
640 - Added "tribuf"
641 - Added "lut2mux"
642 - Added "nlutmap"
643 - Added "qwp"
644 - Added "test_cell -noeval"
645 - Added "edgetypes"
646 - Added "equiv_struct"
647 - Added "equiv_purge"
648 - Added "equiv_mark"
649 - Added "equiv_add -try -cell"
650 - Added "singleton"
651 - Added "abc -g -luts"
652 - Added "torder"
653 - Added "write_blif -cname"
654 - Added "submod -copy"
655 - Added "dffsr2dff"
656 - Added "stat -liberty"
657
658 * Synthesis metacommands
659 - Various improvements in synth_xilinx
660 - Added synth_ice40 and synth_greenpak4
661 - Added "prep" metacommand for "synthesis lite"
662
663 * Cell library changes
664 - Added cell types to "help" system
665 - Added $meminit cell type
666 - Added $assume cell type
667 - Added $_MUX4_, $_MUX8_, and $_MUX16_ cells
668 - Added $tribuf and $_TBUF_ cell types
669 - Added read-enable to memory model
670
671 * YosysJS
672 - Various improvements in emscripten build
673 - Added alternative webworker-based JS API
674 - Added a few example applications
675
676
677 Yosys 0.4 .. Yosys 0.5
678 ----------------------
679
680 * API changes
681 - Added log_warning()
682 - Added eval_select_args() and eval_select_op()
683 - Added cell->known(), cell->input(portname), cell->output(portname)
684 - Skip blackbox modules in design->selected_modules()
685 - Replaced std::map<> and std::set<> with dict<> and pool<>
686 - New SigSpec::extend() is what used to be SigSpec::extend_u0()
687 - Added YS_OVERRIDE, YS_FINAL, YS_ATTRIBUTE, YS_NORETURN
688
689 * Cell library changes
690 - Added flip-flops with enable ($dffe etc.)
691 - Added $equiv cells for equivalence checking framework
692
693 * Various
694 - Updated ABC to hg rev 61ad5f908c03
695 - Added clock domain partitioning to ABC pass
696 - Improved plugin building (see "yosys-config --build")
697 - Added ENABLE_NDEBUG Makefile flag for high-performance builds
698 - Added "yosys -d", "yosys -L" and other driver improvements
699 - Added support for multi-bit (array) cell ports to "write_edif"
700 - Now printing most output to stdout, not stderr
701 - Added "onehot" attribute (set by "fsm_map")
702 - Various performance improvements
703 - Vastly improved Xilinx flow
704 - Added "make unsintall"
705
706 * Equivalence checking
707 - Added equivalence checking commands:
708 equiv_make equiv_simple equiv_status
709 equiv_induct equiv_miter
710 equiv_add equiv_remove
711
712 * Block RAM support:
713 - Added "memory_bram" command
714 - Added BRAM support to Xilinx flow
715
716 * Other New Commands and Options
717 - Added "dff2dffe"
718 - Added "fsm -encfile"
719 - Added "dfflibmap -prepare"
720 - Added "write_blid -unbuf -undef -blackbox"
721 - Added "write_smt2" for writing SMT-LIBv2 files
722 - Added "test_cell -w -muxdiv"
723 - Added "select -read"
724
725
726 Yosys 0.3.0 .. Yosys 0.4
727 ------------------------
728
729 * Platform Support
730 - Added support for mxe-based cross-builds for win32
731 - Added sourcecode-export as VisualStudio project
732 - Added experimental EMCC (JavaScript) support
733
734 * Verilog Frontend
735 - Added -sv option for SystemVerilog (and automatic *.sv file support)
736 - Added support for real-valued constants and constant expressions
737 - Added support for non-standard "via_celltype" attribute on task/func
738 - Added support for non-standard "module mod_name(...);" syntax
739 - Added support for non-standard """ macro bodies
740 - Added support for array with more than one dimension
741 - Added support for $readmemh and $readmemb
742 - Added support for DPI functions
743
744 * Changes in internal cell library
745 - Added $shift and $shiftx cell types
746 - Added $alu, $lcu, $fa and $macc cell types
747 - Removed $bu0 and $safe_pmux cell types
748 - $mem/$memwr WR_EN input is now a per-data-bit enable signal
749 - Added $_NAND_ $_NOR_ $_XNOR_ $_AOI3_ $_OAI3_ $_AOI4_ $_OAI4_
750 - Renamed ports of $lut cells (from I->O to A->Y)
751 - Renamed $_INV_ to $_NOT_
752
753 * Changes for simple synthesis flows
754 - There is now a "synth" command with a recommended default script
755 - Many improvements in synthesis of arithmetic functions to gates
756 - Multipliers and adders with many operands are using carry-save adder trees
757 - Remaining adders are now implemented using Brent-Kung carry look-ahead adders
758 - Various new high-level optimizations on RTL netlist
759 - Various improvements in FSM optimization
760 - Updated ABC to hg 5b5af75f1dda (from 2014-11-07)
761
762 * Changes in internal APIs and RTLIL
763 - Added log_id() and log_cell() helper functions
764 - Added function-like cell creation helpers
765 - Added GetSize() function (like .size() but with int)
766 - Major refactoring of RTLIL::Module and related classes
767 - Major refactoring of RTLIL::SigSpec and related classes
768 - Now RTLIL::IdString is essentially an int
769 - Added macros for code coverage counters
770 - Added some Makefile magic for pretty make logs
771 - Added "kernel/yosys.h" with all the core definitions
772 - Changed a lot of code from FILE* to c++ streams
773 - Added RTLIL::Monitor API and "trace" command
774 - Added "Yosys" C++ namespace
775
776 * Changes relevant to SAT solving
777 - Added ezSAT::keep_cnf() and ezSAT::non_incremental()
778 - Added native ezSAT support for vector shift ops
779 - Updated MiniSAT to git 37dc6c67e2 (from 2013-09-25)
780
781 * New commands (or large improvements to commands)
782 - Added "synth" command with default script
783 - Added "share" (finally some real resource sharing)
784 - Added "memory_share" (reduce number of ports on memories)
785 - Added "wreduce" and "alumacc" commands
786 - Added "opt -keepdc -fine -full -fast"
787 - Added some "test_*" commands
788
789 * Various other changes
790 - Added %D and %c select operators
791 - Added support for labels in yosys scripts
792 - Added support for here-documents in yosys scripts
793 - Support "+/" prefix for files from proc_share_dir
794 - Added "autoidx" statement to ilang language
795 - Switched from "yosys-svgviewer" to "xdot"
796 - Renamed "stdcells.v" to "techmap.v"
797 - Various bug fixes and small improvements
798 - Improved welcome and bye messages
799
800
801 Yosys 0.2.0 .. Yosys 0.3.0
802 --------------------------
803
804 * Driver program and overall behavior:
805 - Added "design -push" and "design -pop"
806 - Added "tee" command for redirecting log output
807
808 * Changes in the internal cell library:
809 - Added $dlatchsr and $_DLATCHSR_???_ cell types
810
811 * Improvements in Verilog frontend:
812 - Improved support for const functions (case, always, repeat)
813 - The generate..endgenerate keywords are now optional
814 - Added support for arrays of module instances
815 - Added support for "`default_nettype" directive
816 - Added support for "`line" directive
817
818 * Other front- and back-ends:
819 - Various changes to "write_blif" options
820 - Various improvements in EDIF backend
821 - Added "vhdl2verilog" pseudo-front-end
822 - Added "verific" pseudo-front-end
823
824 * Improvements in technology mapping:
825 - Added support for recursive techmap
826 - Added CONSTMSK and CONSTVAL features to techmap
827 - Added _TECHMAP_CONNMAP_*_ feature to techmap
828 - Added _TECHMAP_REPLACE_ feature to techmap
829 - Added "connwrappers" command for wrap-extract-unwrap method
830 - Added "extract -map %<design_name>" feature
831 - Added "extract -ignore_param ..." and "extract -ignore_parameters"
832 - Added "techmap -max_iter" option
833
834 * Improvements to "eval" and "sat" framework:
835 - Now include a copy of Minisat (with build fixes applied)
836 - Switched to Minisat::SimpSolver as SAT back-end
837 - Added "sat -dump_vcd" feature
838 - Added "sat -dump_cnf" feature
839 - Added "sat -initsteps <N>" feature
840 - Added "freduce -stop <N>" feature
841 - Added "freduce -dump <prefix>" feature
842
843 * Integration with ABC:
844 - Updated ABC rev to 7600ffb9340c
845
846 * Improvements in the internal APIs:
847 - Added RTLIL::Module::add... helper methods
848 - Various build fixes for OSX (Darwin) and OpenBSD
849
850
851 Yosys 0.1.0 .. Yosys 0.2.0
852 --------------------------
853
854 * Changes to the driver program:
855 - Added "yosys -h" and "yosys -H"
856 - Added support for backslash line continuation in scripts
857 - Added support for #-comments in same line as command
858 - Added "echo" and "log" commands
859
860 * Improvements in Verilog frontend:
861 - Added support for local registers in named blocks
862 - Added support for "case" in "generate" blocks
863 - Added support for $clog2 system function
864 - Added support for basic SystemVerilog assert statements
865 - Added preprocessor support for macro arguments
866 - Added preprocessor support for `elsif statement
867 - Added "verilog_defaults" command
868 - Added read_verilog -icells option
869 - Added support for constant sizes from parameters
870 - Added "read_verilog -setattr"
871 - Added support for function returning 'integer'
872 - Added limited support for function calls in parameter values
873 - Added "read_verilog -defer" to suppress evaluation of modules with default parameters
874
875 * Other front- and back-ends:
876 - Added BTOR backend
877 - Added Liberty frontend
878
879 * Improvements in technology mapping:
880 - The "dfflibmap" command now strongly prefers solutions with
881 no inverters in clock paths
882 - The "dfflibmap" command now prefers cells with smaller area
883 - Added support for multiple -map options to techmap
884 - Added "dfflibmap" support for //-comments in liberty files
885 - Added "memory_unpack" command to revert "memory_collect"
886 - Added standard techmap rule "techmap -share_map pmux2mux.v"
887 - Added "iopadmap -bits"
888 - Added "setundef" command
889 - Added "hilomap" command
890
891 * Changes in the internal cell library:
892 - Major rewrite of simlib.v for better compatibility with other tools
893 - Added PRIORITY parameter to $memwr cells
894 - Added TRANSPARENT parameter to $memrd cells
895 - Added RD_TRANSPARENT parameter to $mem cells
896 - Added $bu0 cell (always 0-extend, even undef MSB)
897 - Added $assert cell type
898 - Added $slice and $concat cell types
899
900 * Integration with ABC:
901 - Updated ABC to hg rev 2058c8ccea68
902 - Tighter integration of ABC build with Yosys build. The make
903 targets 'make abc' and 'make install-abc' are now obsolete.
904 - Added support for passing FFs from one clock domain through ABC
905 - Now always use BLIF as exchange format with ABC
906 - Added support for "abc -script +<command_sequence>"
907 - Improved standard ABC recipe
908 - Added support for "keep" attribute to abc command
909 - Added "abc -dff / -clk / -keepff" options
910
911 * Improvements to "eval" and "sat" framework:
912 - Added support for "0" and "~0" in right-hand side -set expressions
913 - Added "eval -set-undef" and "eval -table"
914 - Added "sat -set-init" and "sat -set-init-*" for sequential problems
915 - Added undef support to SAT solver, incl. various new "sat" options
916 - Added correct support for === and !== for "eval" and "sat"
917 - Added "sat -tempinduct" (default -seq is now non-induction sequential)
918 - Added "sat -prove-asserts"
919 - Complete rewrite of the 'freduce' command
920 - Added "miter" command
921 - Added "sat -show-inputs" and "sat -show-outputs"
922 - Added "sat -ignore_unknown_cells" (now produce an error by default)
923 - Added "sat -falsify"
924 - Now "sat -verify" and "sat -falsify" can also be used without "-prove"
925 - Added "expose" command
926 - Added support for @<sel_name> to sat and eval signal expressions
927
928 * Changes in the 'make test' framework and auxiliary test tools:
929 - Added autotest.sh -p and -f options
930 - Replaced autotest.sh ISIM support with XSIM support
931 - Added test cases for SAT framework
932
933 * Added "abbreviated IDs":
934 - Now $<something>$foo can be abbreviated as $foo.
935 - Usually this last part is a unique id (from RTLIL::autoidx)
936 - This abbreviated IDs are now also used in "show" output
937
938 * Other changes to selection framework:
939 - Now */ is optional in */<mode>:<arg> expressions
940 - Added "select -assert-none" and "select -assert-any"
941 - Added support for matching modules by attribute (A:<expr>)
942 - Added "select -none"
943 - Added support for r:<expr> pattern for matching cell parameters
944 - Added support for !=, <, <=, >=, > for attribute and parameter matching
945 - Added support for %s for selecting sub-modules
946 - Added support for %m for expanding selections to whole modules
947 - Added support for i:*, o:* and x:* pattern for selecting module ports
948 - Added support for s:<expr> pattern for matching wire width
949 - Added support for %a operation to select wire aliases
950
951 * Various other changes to commands and options:
952 - The "ls" command now supports wildcards
953 - Added "show -pause" and "show -format dot"
954 - Added "show -color" support for cells
955 - Added "show -label" and "show -notitle"
956 - Added "dump -m" and "dump -n"
957 - Added "history" command
958 - Added "rename -hide"
959 - Added "connect" command
960 - Added "splitnets -driver"
961 - Added "opt_const -mux_undef"
962 - Added "opt_const -mux_bool"
963 - Added "opt_const -undriven"
964 - Added "opt -mux_undef -mux_bool -undriven -purge"
965 - Added "hierarchy -libdir"
966 - Added "hierarchy -purge_lib" (by default now do not remove lib cells)
967 - Added "delete" command
968 - Added "dump -append"
969 - Added "setattr" and "setparam" commands
970 - Added "design -stash/-copy-from/-copy-to"
971 - Added "copy" command
972 - Added "splice" command
973