Add $aldff and $aldffe: flip-flops with async load.
[yosys.git] / CHANGELOG
1
2 List of major changes and improvements between releases
3 =======================================================
4
5 Yosys 0.10 .. Yosys 0.10-dev
6 --------------------------
7
8 * Various
9 - Added $aldff and $aldffe (flip-flops with async load) cells
10
11 Yosys 0.9 .. Yosys 0.10
12 --------------------------
13
14 * Various
15 - Added automatic gzip decompression for frontends
16 - Added $_NMUX_ cell type
17 - Added automatic gzip compression (based on filename extension) for backends
18 - Improve attribute and parameter encoding in JSON to avoid ambiguities between
19 bit vectors and strings containing [01xz]*
20 - Improvements in pmgen: subpattern and recursive matches
21 - Support explicit FIRRTL properties
22 - Improvements in pmgen: slices, choices, define, generate
23 - Added "_TECHMAP_WIREINIT_*_" parameter and "_TECHMAP_REMOVEINIT_*_" wire for "techmap" pass
24 - Added +/mul2dsp.v for decomposing wide multipliers to custom-sized ones
25 - Added new frontend: rpc
26 - Added --version and -version as aliases for -V
27 - Improve yosys-smtbmc "solver not found" handling
28 - Improved support of $readmem[hb] Memory Content File inclusion
29 - Added CXXRTL backend
30 - Use YosysHQ/abc instead of upstream berkeley-abc/abc
31 - Added WASI platform support.
32 - Added extmodule support to firrtl backend
33 - Added $divfloor and $modfloor cells
34 - Added $adffe, $dffsre, $sdff, $sdffe, $sdffce, $adlatch cells
35 - Added "_TECHMAP_CELLNAME_" parameter for "techmap" pass
36 - Added firrtl backend support for generic parameters in blackbox components
37 - Added $meminit_v2 cells (with support for write mask)
38 - Added $mem_v2, $memrd_v2, $memwr_v2, with the following features:
39 - write priority masks, per write/write port pair
40 - transparency and undefined collision behavior masks, per read/write port pair
41 - read port reset and initialization
42 - wide ports (accessing a naturally aligned power-of-two number of memory cells)
43
44 * New commands and options
45 - Added "write_xaiger" backend
46 - Added "read_xaiger"
47 - Added "abc9" pass for timing-aware techmapping (experimental, FPGA only)
48 - Added "synth -abc9" (experimental)
49 - Added "script -scriptwire"
50 - Added "clkbufmap" pass
51 - Added "extractinv" pass and "invertible_pin" attribute
52 - Added "proc_clean -quiet"
53 - Added "proc_prune" pass
54 - Added "stat -tech cmos"
55 - Added "opt_share" pass, run as part of "opt -full"
56 - Added "-match-init" option to "dff2dffs" pass
57 - Added "equiv_opt -multiclock"
58 - Added "techmap_autopurge" support to techmap
59 - Added "add -mod <modname[s]>"
60 - Added "paramap" pass
61 - Added "portlist" command
62 - Added "check -mapped"
63 - Added "check -allow-tbuf"
64 - Added "autoname" pass
65 - Added "write_verilog -extmem"
66 - Added "opt_mem" pass
67 - Added "scratchpad" pass
68 - Added "fminit" pass
69 - Added "opt_lut_ins" pass
70 - Added "logger" pass
71 - Added "show -nobg"
72 - Added "exec" command
73 - Added "design -delete"
74 - Added "design -push-copy"
75 - Added "qbfsat" command
76 - Added "select -unset"
77 - Added "dfflegalize" pass
78 - Removed "opt_expr -clkinv" option, made it the default
79 - Added "proc -nomux
80 - Merged "dffsr2dff", "opt_rmdff", "dff2dffe", "dff2dffs", "peepopt.dffmux" passes into a new "opt_dff" pass
81
82 * SystemVerilog
83 - Added checking of always block types (always_comb, always_latch and always_ff)
84 - Added support for wildcard port connections (.*)
85 - Added support for enum typedefs
86 - Added support for structs and packed unions.
87 - Allow constant function calls in for loops and generate if and case
88 - Added support for static cast
89 - Added support for logic typed parameters
90 - Fixed generate scoping issues
91 - Added support for real-valued parameters
92 - Allow localparams in constant functions
93 - Module name scope support
94 - Support recursive functions using ternary expressions
95 - Extended support for integer types
96 - Support for parameters without default values
97 - Allow globals in one file to depend on globals in another
98 - Added support for: *=, /=, %=, <<=, >>=, <<<=, >>>=
99 - Added support for parsing the 'bind' construct
100 - support declaration in procedural for initialization
101 - support declaration in generate for initialization
102 - Support wand and wor of data types
103
104 * Verific support
105 - Added "verific -L"
106 - Add Verific SVA support for "always" properties
107 - Add Verific support for SVA nexttime properties
108 - Improve handling of verific primitives in "verific -import -V" mode
109 - Import attributes for wires
110 - Support VHDL enums
111 - Added support for command files
112
113 * New back-ends
114 - Added initial EFINIX support
115 - Added Intel ALM: alternative synthesis for Intel FPGAs
116 - Added initial Nexus support
117 - Added initial MachXO2 support
118 - Added initial QuickLogic PolarPro 3 support
119
120 * ECP5 support
121 - Renamed labels/options in synth_ecp5 (e.g. dram -> map_lutram; -nodram -> -nolutram)
122 - Added "synth_ecp5 -abc9" (experimental)
123 - Added "synth_ecp5 -nowidelut"
124 - "synth_ecp5" to now infer DSP blocks (-nodsp to disable, experimental)
125
126 * iCE40 support
127 - Added "synth_ice40 -abc9" (experimental)
128 - Added "synth_ice40 -device"
129 - Renamed labels/options in synth_ice40 (e.g. dram -> map_lutram; -nodram -> -nolutram)
130 - Added "ice40_wrapcarry" to encapsulate SB_LUT+SB_CARRY pairs for techmapping
131 - Removed "ice40_unlut"
132 - Added "ice40_dsp" for Lattice iCE40 DSP packing
133 - "synth_ice40 -dsp" to infer DSP blocks
134
135 * Xilinx support
136 - Added "synth_xilinx -abc9" (experimental)
137 - Added "synth_xilinx -nocarry"
138 - Added "synth_xilinx -nowidelut"
139 - "synth_xilinx" to now infer wide multiplexers (-widemux <min> to enable)
140 - Renamed labels/options in synth_xilinx (e.g. dram -> map_lutram; -nodram -> -nolutram)
141 - Added "synth_xilinx -family xc6s" for Spartan 6 support (experimental)
142 - Added "synth_xilinx -ise" (experimental)
143 - Added "synth_xilinx -iopad"
144 - "synth_xilinx" now automatically inserts clock buffers (add -noclkbuf to disable)
145 - Added "xilinx_srl" for Xilinx shift register extraction
146 - Removed "shregmap -tech xilinx" (superseded by "xilinx_srl")
147 - Added "xilinx_dsp" for Xilinx DSP packing
148 - "synth_xilinx" to now infer DSP blocks (-nodsp to disable)
149 - Added latch support to synth_xilinx
150 - Added support for flip-flops with synchronous reset to synth_xilinx
151 - Added support for flip-flops with reset and enable to synth_xilinx
152 - Added "xilinx_dffopt" pass
153 - Added "synth_xilinx -dff"
154
155 * Intel support
156 - Renamed labels in synth_intel (e.g. bram -> map_bram)
157 - synth_intel: cyclone10 -> cyclone10lp, a10gx -> arria10gx
158 - Added "intel_alm -abc9" (experimental)
159
160 * CoolRunner2 support
161 - Separate and improve buffer cell insertion pass
162 - Use extract_counter to optimize counters
163
164 Yosys 0.8 .. Yosys 0.9
165 ----------------------
166
167 * Various
168 - Many bugfixes and small improvements
169 - Added support for SystemVerilog interfaces and modports
170 - Added "write_edif -attrprop"
171 - Added "opt_lut" pass
172 - Added "gate2lut.v" techmap rule
173 - Added "rename -src"
174 - Added "equiv_opt" pass
175 - Added "flowmap" LUT mapping pass
176 - Added "rename -wire" to rename cells based on the wires they drive
177 - Added "bugpoint" for creating minimised testcases
178 - Added "write_edif -gndvccy"
179 - "write_verilog" to escape Verilog keywords
180 - Fixed sign handling of real constants
181 - "write_verilog" to write initial statement for initial flop state
182 - Added pmgen pattern matcher generator
183 - Fixed opt_rmdff handling of $_DFFSR_???_ and $_DLATCHSR_???_
184 - Added "setundef -params" to replace undefined cell parameters
185 - Renamed "yosys -D" to "yosys -U", added "yosys -D" to set Verilog defines
186 - Fixed handling of defparam when default_nettype is none
187 - Fixed "wreduce" flipflop handling
188 - Fixed FIRRTL to Verilog process instance subfield assignment
189 - Added "write_verilog -siminit"
190 - Several fixes and improvements for mem2reg memories
191 - Fixed handling of task output ports in clocked always blocks
192 - Improved handling of and-with-1 and or-with-0 in "opt_expr"
193 - Added "read_aiger" frontend
194 - Added "mutate" pass
195 - Added "hdlname" attribute
196 - Added "rename -output"
197 - Added "read_ilang -lib"
198 - Improved "proc" full_case detection and handling
199 - Added "whitebox" and "lib_whitebox" attributes
200 - Added "read_verilog -nowb", "flatten -wb" and "wbflip"
201 - Added Python bindings and support for Python plug-ins
202 - Added "pmux2shiftx"
203 - Added log_debug framework for reduced default verbosity
204 - Improved "opt_expr" and "opt_clean" handling of (partially) undriven and/or unused wires
205 - Added "peepopt" peephole optimisation pass using pmgen
206 - Added approximate support for SystemVerilog "var" keyword
207 - Added parsing of "specify" blocks into $specrule and $specify[23]
208 - Added support for attributes on parameters and localparams
209 - Added support for parsing attributes on port connections
210 - Added "wreduce -keepdc"
211 - Added support for optimising $dffe and $_DFFE_* cells in "opt_rmdff"
212 - Added Verilog wand/wor wire type support
213 - Added support for elaboration system tasks
214 - Added "muxcover -mux{4,8,16}=<cost>"
215 - Added "muxcover -dmux=<cost>"
216 - Added "muxcover -nopartial"
217 - Added "muxpack" pass
218 - Added "pmux2shiftx -norange"
219 - Added support for "~" in filename parsing
220 - Added "read_verilog -pwires" feature to turn parameters into wires
221 - Fixed sign extension of unsized constants with 'bx and 'bz MSB
222 - Fixed genvar to be a signed type
223 - Added support for attributes on case rules
224 - Added "upto" and "offset" to JSON frontend and backend
225 - Several liberty file parser improvements
226 - Fixed handling of more complex BRAM patterns
227 - Add "write_aiger -I -O -B"
228
229 * Formal Verification
230 - Added $changed support to read_verilog
231 - Added "read_verilog -noassert -noassume -assert-assumes"
232 - Added btor ops for $mul, $div, $mod and $concat
233 - Added yosys-smtbmc support for btor witnesses
234 - Added "supercover" pass
235 - Fixed $global_clock handling vs autowire
236 - Added $dffsr support to "async2sync"
237 - Added "fmcombine" pass
238 - Added memory init support in "write_btor"
239 - Added "cutpoint" pass
240 - Changed "ne" to "neq" in btor2 output
241 - Added support for SVA "final" keyword
242 - Added "fmcombine -initeq -anyeq"
243 - Added timescale and generated-by header to yosys-smtbmc vcd output
244 - Improved BTOR2 handling of undriven wires
245
246 * Verific support
247 - Enabled Verific flags vhdl_support_variable_slice and veri_elaborate_top_level_modules_having_interface_ports
248 - Improved support for asymmetric memories
249 - Added "verific -chparam"
250 - Fixed "verific -extnets" for more complex situations
251 - Added "read -verific" and "read -noverific"
252 - Added "hierarchy -chparam"
253
254 * New back-ends
255 - Added initial Anlogic support
256 - Added initial SmartFusion2 and IGLOO2 support
257
258 * ECP5 support
259 - Added "synth_ecp5 -nowidelut"
260 - Added BRAM inference support to "synth_ecp5"
261 - Added support for transforming Diamond IO and flipflop primitives
262
263 * iCE40 support
264 - Added "ice40_unlut" pass
265 - Added "synth_ice40 -relut"
266 - Added "synth_ice40 -noabc"
267 - Added "synth_ice40 -dffe_min_ce_use"
268 - Added DSP inference support using pmgen
269 - Added support for initialising BRAM primitives from a file
270 - Added iCE40 Ultra RGB LED driver cells
271
272 * Xilinx support
273 - Use "write_edif -pvector bra" for Xilinx EDIF files
274 - Fixes for VPR place and route support with "synth_xilinx"
275 - Added more cell simulation models
276 - Added "synth_xilinx -family"
277 - Added "stat -tech xilinx" to estimate logic cell usage
278 - Added "synth_xilinx -nocarry"
279 - Added "synth_xilinx -nowidelut"
280 - "synth_xilinx" to now infer hard shift registers (-nosrl to disable)
281 - Added support for mapping RAM32X1D
282
283 Yosys 0.7 .. Yosys 0.8
284 ----------------------
285
286 * Various
287 - Many bugfixes and small improvements
288 - Strip debug symbols from installed binary
289 - Replace -ignore_redef with -[no]overwrite in front-ends
290 - Added write_verilog hex dump support, add -nohex option
291 - Added "write_verilog -decimal"
292 - Added "scc -set_attr"
293 - Added "verilog_defines" command
294 - Remember defines from one read_verilog to next
295 - Added support for hierarchical defparam
296 - Added FIRRTL back-end
297 - Improved ABC default scripts
298 - Added "design -reset-vlog"
299 - Added "yosys -W regex", "yosys -w regex", and "yosys -e regex"
300 - Added Verilog $rtoi and $itor support
301 - Added "check -initdrv"
302 - Added "read_blif -wideports"
303 - Added support for SystemVerilog "++" and "--" operators
304 - Added support for SystemVerilog unique, unique0, and priority case
305 - Added "write_edif" options for edif "flavors"
306 - Added support for resetall compiler directive
307 - Added simple C beck-end (bitwise combinatorical only atm)
308 - Added $_ANDNOT_ and $_ORNOT_ cell types
309 - Added cell library aliases to "abc -g"
310 - Added "setundef -anyseq"
311 - Added "chtype" command
312 - Added "design -import"
313 - Added "write_table" command
314 - Added "read_json" command
315 - Added "sim" command
316 - Added "extract_fa" and "extract_reduce" commands
317 - Added "extract_counter" command
318 - Added "opt_demorgan" command
319 - Added support for $size and $bits SystemVerilog functions
320 - Added "blackbox" command
321 - Added "ltp" command
322 - Added support for editline as replacement for readline
323 - Added warnings for driver-driver conflicts between FFs (and other cells) and constants
324 - Added "yosys -E" for creating Makefile dependencies files
325 - Added "synth -noshare"
326 - Added "memory_nordff"
327 - Added "setundef -undef -expose -anyconst"
328 - Added "expose -input"
329 - Added specify/specparam parser support (simply ignore them)
330 - Added "write_blif -inames -iattr"
331 - Added "hierarchy -simcheck"
332 - Added an option to statically link abc into yosys
333 - Added protobuf back-end
334 - Added BLIF parsing support for .conn and .cname
335 - Added read_verilog error checking for reg/wire/logic misuse
336 - Added "make coverage" and ENABLE_GCOV build option
337
338 * Changes in Yosys APIs
339 - Added ConstEval defaultval feature
340 - Added {get,set}_src_attribute() methods on RTLIL::AttrObject
341 - Added SigSpec::is_fully_ones() and Const::is_fully_ones()
342 - Added log_file_warning() and log_file_error() functions
343
344 * Formal Verification
345 - Added "write_aiger"
346 - Added "yosys-smtbmc --aig"
347 - Added "always <positive_int>" to .smtc format
348 - Added $cover cell type and support for cover properties
349 - Added $fair/$live cell type and support for liveness properties
350 - Added smtbmc support for memory vcd dumping
351 - Added "chformal" command
352 - Added "write_smt2 -stbv" and "write_smt2 -stdt"
353 - Fix equiv_simple, old behavior now available with "equiv_simple -short"
354 - Change to Yices2 as default SMT solver (it is GPL now)
355 - Added "yosys-smtbmc --presat" (now default in SymbiYosys)
356 - Added "yosys-smtbmc --smtc-init --smtc-top --noinit"
357 - Added a brand new "write_btor" command for BTOR2
358 - Added clk2fflogic memory support and other improvements
359 - Added "async memory write" support to write_smt2
360 - Simulate clock toggling in yosys-smtbmc VCD output
361 - Added $allseq/$allconst cells for EA-solving
362 - Make -nordff the default in "prep"
363 - Added (* gclk *) attribute
364 - Added "async2sync" pass for single-clock designs with async resets
365
366 * Verific support
367 - Many improvements in Verific front-end
368 - Added proper handling of concurent SVA properties
369 - Map "const" and "rand const" to $anyseq/$anyconst
370 - Added "verific -import -flatten" and "verific -import -extnets"
371 - Added "verific -vlog-incdir -vlog-define -vlog-libdir"
372 - Remove PSL support (because PSL has been removed in upstream Verific)
373 - Improve integration with "hierarchy" command design elaboration
374 - Added YOSYS_NOVERIFIC for running non-verific test cases with verific bin
375 - Added simpilied "read" command that automatically uses verific if available
376 - Added "verific -set-<severity> <msg_id>.."
377 - Added "verific -work <libname>"
378
379 * New back-ends
380 - Added initial Coolrunner-II support
381 - Added initial eASIC support
382 - Added initial ECP5 support
383
384 * GreenPAK Support
385 - Added support for GP_DLATCH, GP_SPI, GP_DCMx, GP_COUNTx, etc.
386
387 * iCE40 Support
388 - Add "synth_ice40 -vpr"
389 - Add "synth_ice40 -nodffe"
390 - Add "synth_ice40 -json"
391 - Add Support for UltraPlus cells
392
393 * MAX10 and Cyclone IV Support
394 - Added initial version of metacommand "synth_intel".
395 - Improved write_verilog command to produce VQM netlist for Quartus Prime.
396 - Added support for MAX10 FPGA family synthesis.
397 - Added support for Cyclone IV family synthesis.
398 - Added example of implementation for DE2i-150 board.
399 - Added example of implementation for MAX10 development kit.
400 - Added LFSR example from Asic World.
401 - Added "dffinit -highlow" for mapping to Intel primitives
402
403
404 Yosys 0.6 .. Yosys 0.7
405 ----------------------
406
407 * Various
408 - Added "yosys -D" feature
409 - Added support for installed plugins in $(DATDIR)/plugins/
410 - Renamed opt_const to opt_expr
411 - Renamed opt_share to opt_merge
412 - Added "prep -flatten" and "synth -flatten"
413 - Added "prep -auto-top" and "synth -auto-top"
414 - Using "mfs" and "lutpack" in ABC lut mapping
415 - Support for abstract modules in chparam
416 - Cleanup abstract modules at end of "hierarchy -top"
417 - Added tristate buffer support to iopadmap
418 - Added opt_expr support for div/mod by power-of-two
419 - Added "select -assert-min <N> -assert-max <N>"
420 - Added "attrmvcp" pass
421 - Added "attrmap" command
422 - Added "tee +INT -INT"
423 - Added "zinit" pass
424 - Added "setparam -type"
425 - Added "shregmap" pass
426 - Added "setundef -init"
427 - Added "nlutmap -assert"
428 - Added $sop cell type and "abc -sop -I <num> -P <num>"
429 - Added "dc2" to default ABC scripts
430 - Added "deminout"
431 - Added "insbuf" command
432 - Added "prep -nomem"
433 - Added "opt_rmdff -keepdc"
434 - Added "prep -nokeepdc"
435 - Added initial version of "synth_gowin"
436 - Added "fsm_expand -full"
437 - Added support for fsm_encoding="user"
438 - Many improvements in GreenPAK4 support
439 - Added black box modules for all Xilinx 7-series lib cells
440 - Added synth_ice40 support for latches via logic loops
441 - Fixed ice40_opt lut unmapping, added "ice40_opt -unlut"
442
443 * Build System
444 - Added ABCEXTERNAL and ABCURL make variables
445 - Added BINDIR, LIBDIR, and DATDIR make variables
446 - Added PKG_CONFIG make variable
447 - Added SEED make variable (for "make test")
448 - Added YOSYS_VER_STR make variable
449 - Updated min GCC requirement to GCC 4.8
450 - Updated required Bison version to Bison 3.x
451
452 * Internal APIs
453 - Added ast.h to exported headers
454 - Added ScriptPass helper class for script-like passes
455 - Added CellEdgesDatabase API
456
457 * Front-ends and Back-ends
458 - Added filename glob support to all front-ends
459 - Added avail (black-box) module params to ilang format
460 - Added $display %m support
461 - Added support for $stop Verilog system task
462 - Added support for SystemVerilog packages
463 - Fixed procedural assignments to non-unique lvalues, e.g. {y,y} = {a,b}
464 - Added support for "active high" and "active low" latches in read_blif and write_blif
465 - Use init value "2" for all uninitialized FFs in BLIF back-end
466 - Added "read_blif -sop"
467 - Added "write_blif -noalias"
468 - Added various write_blif options for VTR support
469 - write_json: also write module attributes.
470 - Added "write_verilog -nodec -nostr -defparam"
471 - Added "read_verilog -norestrict -assume-asserts"
472 - Added support for bus interfaces to "read_liberty -lib"
473 - Added liberty parser support for types within cell decls
474 - Added "write_verilog -renameprefix -v"
475 - Added "write_edif -nogndvcc"
476
477 * Formal Verification
478 - Support for hierarchical designs in smt2 back-end
479 - Yosys-smtbmc: Support for hierarchical VCD dumping
480 - Added $initstate cell type and vlog function
481 - Added $anyconst and $anyseq cell types and vlog functions
482 - Added printing of code loc of failed asserts to yosys-smtbmc
483 - Added memory_memx pass, "memory -memx", and "prep -memx"
484 - Added "proc_mux -ifx"
485 - Added "yosys-smtbmc -g"
486 - Deprecated "write_smt2 -regs" (by default on now)
487 - Made "write_smt2 -bv -mem" default, added "write_smt2 -nobv -nomem"
488 - Added support for memories to smtio.py
489 - Added "yosys-smtbmc --dump-vlogtb"
490 - Added "yosys-smtbmc --smtc --dump-smtc"
491 - Added "yosys-smtbmc --dump-all"
492 - Added assertpmux command
493 - Added "yosys-smtbmc --unroll"
494 - Added $past, $stable, $rose, $fell SVA functions
495 - Added "yosys-smtbmc --noinfo and --dummy"
496 - Added "yosys-smtbmc --noincr"
497 - Added "yosys-smtbmc --cex <filename>"
498 - Added $ff and $_FF_ cell types
499 - Added $global_clock verilog syntax support for creating $ff cells
500 - Added clk2fflogic
501
502
503 Yosys 0.5 .. Yosys 0.6
504 ----------------------
505
506 * Various
507 - Added Contributor Covenant Code of Conduct
508 - Various improvements in dict<> and pool<>
509 - Added hashlib::mfp and refactored SigMap
510 - Improved support for reals as module parameters
511 - Various improvements in SMT2 back-end
512 - Added "keep_hierarchy" attribute
513 - Verilog front-end: define `BLACKBOX in -lib mode
514 - Added API for converting internal cells to AIGs
515 - Added ENABLE_LIBYOSYS Makefile option
516 - Removed "techmap -share_map" (use "-map +/filename" instead)
517 - Switched all Python scripts to Python 3
518 - Added support for $display()/$write() and $finish() to Verilog front-end
519 - Added "yosys-smtbmc" formal verification flow
520 - Added options for clang sanitizers to Makefile
521
522 * New commands and options
523 - Added "scc -expect <N> -nofeedback"
524 - Added "proc_dlatch"
525 - Added "check"
526 - Added "select %xe %cie %coe %M %C %R"
527 - Added "sat -dump_json" (WaveJSON format)
528 - Added "sat -tempinduct-baseonly -tempinduct-inductonly"
529 - Added "sat -stepsize" and "sat -tempinduct-step"
530 - Added "sat -show-regs -show-public -show-all"
531 - Added "write_json" (Native Yosys JSON format)
532 - Added "write_blif -attr"
533 - Added "dffinit"
534 - Added "chparam"
535 - Added "muxcover"
536 - Added "pmuxtree"
537 - Added memory_bram "make_outreg" feature
538 - Added "splice -wires"
539 - Added "dff2dffe -direct-match"
540 - Added simplemap $lut support
541 - Added "read_blif"
542 - Added "opt_share -share_all"
543 - Added "aigmap"
544 - Added "write_smt2 -mem -regs -wires"
545 - Added "memory -nordff"
546 - Added "write_smv"
547 - Added "synth -nordff -noalumacc"
548 - Added "rename -top new_name"
549 - Added "opt_const -clkinv"
550 - Added "synth -nofsm"
551 - Added "miter -assert"
552 - Added "read_verilog -noautowire"
553 - Added "read_verilog -nodpi"
554 - Added "tribuf"
555 - Added "lut2mux"
556 - Added "nlutmap"
557 - Added "qwp"
558 - Added "test_cell -noeval"
559 - Added "edgetypes"
560 - Added "equiv_struct"
561 - Added "equiv_purge"
562 - Added "equiv_mark"
563 - Added "equiv_add -try -cell"
564 - Added "singleton"
565 - Added "abc -g -luts"
566 - Added "torder"
567 - Added "write_blif -cname"
568 - Added "submod -copy"
569 - Added "dffsr2dff"
570 - Added "stat -liberty"
571
572 * Synthesis metacommands
573 - Various improvements in synth_xilinx
574 - Added synth_ice40 and synth_greenpak4
575 - Added "prep" metacommand for "synthesis lite"
576
577 * Cell library changes
578 - Added cell types to "help" system
579 - Added $meminit cell type
580 - Added $assume cell type
581 - Added $_MUX4_, $_MUX8_, and $_MUX16_ cells
582 - Added $tribuf and $_TBUF_ cell types
583 - Added read-enable to memory model
584
585 * YosysJS
586 - Various improvements in emscripten build
587 - Added alternative webworker-based JS API
588 - Added a few example applications
589
590
591 Yosys 0.4 .. Yosys 0.5
592 ----------------------
593
594 * API changes
595 - Added log_warning()
596 - Added eval_select_args() and eval_select_op()
597 - Added cell->known(), cell->input(portname), cell->output(portname)
598 - Skip blackbox modules in design->selected_modules()
599 - Replaced std::map<> and std::set<> with dict<> and pool<>
600 - New SigSpec::extend() is what used to be SigSpec::extend_u0()
601 - Added YS_OVERRIDE, YS_FINAL, YS_ATTRIBUTE, YS_NORETURN
602
603 * Cell library changes
604 - Added flip-flops with enable ($dffe etc.)
605 - Added $equiv cells for equivalence checking framework
606
607 * Various
608 - Updated ABC to hg rev 61ad5f908c03
609 - Added clock domain partitioning to ABC pass
610 - Improved plugin building (see "yosys-config --build")
611 - Added ENABLE_NDEBUG Makefile flag for high-performance builds
612 - Added "yosys -d", "yosys -L" and other driver improvements
613 - Added support for multi-bit (array) cell ports to "write_edif"
614 - Now printing most output to stdout, not stderr
615 - Added "onehot" attribute (set by "fsm_map")
616 - Various performance improvements
617 - Vastly improved Xilinx flow
618 - Added "make unsintall"
619
620 * Equivalence checking
621 - Added equivalence checking commands:
622 equiv_make equiv_simple equiv_status
623 equiv_induct equiv_miter
624 equiv_add equiv_remove
625
626 * Block RAM support:
627 - Added "memory_bram" command
628 - Added BRAM support to Xilinx flow
629
630 * Other New Commands and Options
631 - Added "dff2dffe"
632 - Added "fsm -encfile"
633 - Added "dfflibmap -prepare"
634 - Added "write_blid -unbuf -undef -blackbox"
635 - Added "write_smt2" for writing SMT-LIBv2 files
636 - Added "test_cell -w -muxdiv"
637 - Added "select -read"
638
639
640 Yosys 0.3.0 .. Yosys 0.4
641 ------------------------
642
643 * Platform Support
644 - Added support for mxe-based cross-builds for win32
645 - Added sourcecode-export as VisualStudio project
646 - Added experimental EMCC (JavaScript) support
647
648 * Verilog Frontend
649 - Added -sv option for SystemVerilog (and automatic *.sv file support)
650 - Added support for real-valued constants and constant expressions
651 - Added support for non-standard "via_celltype" attribute on task/func
652 - Added support for non-standard "module mod_name(...);" syntax
653 - Added support for non-standard """ macro bodies
654 - Added support for array with more than one dimension
655 - Added support for $readmemh and $readmemb
656 - Added support for DPI functions
657
658 * Changes in internal cell library
659 - Added $shift and $shiftx cell types
660 - Added $alu, $lcu, $fa and $macc cell types
661 - Removed $bu0 and $safe_pmux cell types
662 - $mem/$memwr WR_EN input is now a per-data-bit enable signal
663 - Added $_NAND_ $_NOR_ $_XNOR_ $_AOI3_ $_OAI3_ $_AOI4_ $_OAI4_
664 - Renamed ports of $lut cells (from I->O to A->Y)
665 - Renamed $_INV_ to $_NOT_
666
667 * Changes for simple synthesis flows
668 - There is now a "synth" command with a recommended default script
669 - Many improvements in synthesis of arithmetic functions to gates
670 - Multipliers and adders with many operands are using carry-save adder trees
671 - Remaining adders are now implemented using Brent-Kung carry look-ahead adders
672 - Various new high-level optimizations on RTL netlist
673 - Various improvements in FSM optimization
674 - Updated ABC to hg 5b5af75f1dda (from 2014-11-07)
675
676 * Changes in internal APIs and RTLIL
677 - Added log_id() and log_cell() helper functions
678 - Added function-like cell creation helpers
679 - Added GetSize() function (like .size() but with int)
680 - Major refactoring of RTLIL::Module and related classes
681 - Major refactoring of RTLIL::SigSpec and related classes
682 - Now RTLIL::IdString is essentially an int
683 - Added macros for code coverage counters
684 - Added some Makefile magic for pretty make logs
685 - Added "kernel/yosys.h" with all the core definitions
686 - Changed a lot of code from FILE* to c++ streams
687 - Added RTLIL::Monitor API and "trace" command
688 - Added "Yosys" C++ namespace
689
690 * Changes relevant to SAT solving
691 - Added ezSAT::keep_cnf() and ezSAT::non_incremental()
692 - Added native ezSAT support for vector shift ops
693 - Updated MiniSAT to git 37dc6c67e2 (from 2013-09-25)
694
695 * New commands (or large improvements to commands)
696 - Added "synth" command with default script
697 - Added "share" (finally some real resource sharing)
698 - Added "memory_share" (reduce number of ports on memories)
699 - Added "wreduce" and "alumacc" commands
700 - Added "opt -keepdc -fine -full -fast"
701 - Added some "test_*" commands
702
703 * Various other changes
704 - Added %D and %c select operators
705 - Added support for labels in yosys scripts
706 - Added support for here-documents in yosys scripts
707 - Support "+/" prefix for files from proc_share_dir
708 - Added "autoidx" statement to ilang language
709 - Switched from "yosys-svgviewer" to "xdot"
710 - Renamed "stdcells.v" to "techmap.v"
711 - Various bug fixes and small improvements
712 - Improved welcome and bye messages
713
714
715 Yosys 0.2.0 .. Yosys 0.3.0
716 --------------------------
717
718 * Driver program and overall behavior:
719 - Added "design -push" and "design -pop"
720 - Added "tee" command for redirecting log output
721
722 * Changes in the internal cell library:
723 - Added $dlatchsr and $_DLATCHSR_???_ cell types
724
725 * Improvements in Verilog frontend:
726 - Improved support for const functions (case, always, repeat)
727 - The generate..endgenerate keywords are now optional
728 - Added support for arrays of module instances
729 - Added support for "`default_nettype" directive
730 - Added support for "`line" directive
731
732 * Other front- and back-ends:
733 - Various changes to "write_blif" options
734 - Various improvements in EDIF backend
735 - Added "vhdl2verilog" pseudo-front-end
736 - Added "verific" pseudo-front-end
737
738 * Improvements in technology mapping:
739 - Added support for recursive techmap
740 - Added CONSTMSK and CONSTVAL features to techmap
741 - Added _TECHMAP_CONNMAP_*_ feature to techmap
742 - Added _TECHMAP_REPLACE_ feature to techmap
743 - Added "connwrappers" command for wrap-extract-unwrap method
744 - Added "extract -map %<design_name>" feature
745 - Added "extract -ignore_param ..." and "extract -ignore_parameters"
746 - Added "techmap -max_iter" option
747
748 * Improvements to "eval" and "sat" framework:
749 - Now include a copy of Minisat (with build fixes applied)
750 - Switched to Minisat::SimpSolver as SAT back-end
751 - Added "sat -dump_vcd" feature
752 - Added "sat -dump_cnf" feature
753 - Added "sat -initsteps <N>" feature
754 - Added "freduce -stop <N>" feature
755 - Added "freduce -dump <prefix>" feature
756
757 * Integration with ABC:
758 - Updated ABC rev to 7600ffb9340c
759
760 * Improvements in the internal APIs:
761 - Added RTLIL::Module::add... helper methods
762 - Various build fixes for OSX (Darwin) and OpenBSD
763
764
765 Yosys 0.1.0 .. Yosys 0.2.0
766 --------------------------
767
768 * Changes to the driver program:
769 - Added "yosys -h" and "yosys -H"
770 - Added support for backslash line continuation in scripts
771 - Added support for #-comments in same line as command
772 - Added "echo" and "log" commands
773
774 * Improvements in Verilog frontend:
775 - Added support for local registers in named blocks
776 - Added support for "case" in "generate" blocks
777 - Added support for $clog2 system function
778 - Added support for basic SystemVerilog assert statements
779 - Added preprocessor support for macro arguments
780 - Added preprocessor support for `elsif statement
781 - Added "verilog_defaults" command
782 - Added read_verilog -icells option
783 - Added support for constant sizes from parameters
784 - Added "read_verilog -setattr"
785 - Added support for function returning 'integer'
786 - Added limited support for function calls in parameter values
787 - Added "read_verilog -defer" to suppress evaluation of modules with default parameters
788
789 * Other front- and back-ends:
790 - Added BTOR backend
791 - Added Liberty frontend
792
793 * Improvements in technology mapping:
794 - The "dfflibmap" command now strongly prefers solutions with
795 no inverters in clock paths
796 - The "dfflibmap" command now prefers cells with smaller area
797 - Added support for multiple -map options to techmap
798 - Added "dfflibmap" support for //-comments in liberty files
799 - Added "memory_unpack" command to revert "memory_collect"
800 - Added standard techmap rule "techmap -share_map pmux2mux.v"
801 - Added "iopadmap -bits"
802 - Added "setundef" command
803 - Added "hilomap" command
804
805 * Changes in the internal cell library:
806 - Major rewrite of simlib.v for better compatibility with other tools
807 - Added PRIORITY parameter to $memwr cells
808 - Added TRANSPARENT parameter to $memrd cells
809 - Added RD_TRANSPARENT parameter to $mem cells
810 - Added $bu0 cell (always 0-extend, even undef MSB)
811 - Added $assert cell type
812 - Added $slice and $concat cell types
813
814 * Integration with ABC:
815 - Updated ABC to hg rev 2058c8ccea68
816 - Tighter integration of ABC build with Yosys build. The make
817 targets 'make abc' and 'make install-abc' are now obsolete.
818 - Added support for passing FFs from one clock domain through ABC
819 - Now always use BLIF as exchange format with ABC
820 - Added support for "abc -script +<command_sequence>"
821 - Improved standard ABC recipe
822 - Added support for "keep" attribute to abc command
823 - Added "abc -dff / -clk / -keepff" options
824
825 * Improvements to "eval" and "sat" framework:
826 - Added support for "0" and "~0" in right-hand side -set expressions
827 - Added "eval -set-undef" and "eval -table"
828 - Added "sat -set-init" and "sat -set-init-*" for sequential problems
829 - Added undef support to SAT solver, incl. various new "sat" options
830 - Added correct support for === and !== for "eval" and "sat"
831 - Added "sat -tempinduct" (default -seq is now non-induction sequential)
832 - Added "sat -prove-asserts"
833 - Complete rewrite of the 'freduce' command
834 - Added "miter" command
835 - Added "sat -show-inputs" and "sat -show-outputs"
836 - Added "sat -ignore_unknown_cells" (now produce an error by default)
837 - Added "sat -falsify"
838 - Now "sat -verify" and "sat -falsify" can also be used without "-prove"
839 - Added "expose" command
840 - Added support for @<sel_name> to sat and eval signal expressions
841
842 * Changes in the 'make test' framework and auxiliary test tools:
843 - Added autotest.sh -p and -f options
844 - Replaced autotest.sh ISIM support with XSIM support
845 - Added test cases for SAT framework
846
847 * Added "abbreviated IDs":
848 - Now $<something>$foo can be abbreviated as $foo.
849 - Usually this last part is a unique id (from RTLIL::autoidx)
850 - This abbreviated IDs are now also used in "show" output
851
852 * Other changes to selection framework:
853 - Now */ is optional in */<mode>:<arg> expressions
854 - Added "select -assert-none" and "select -assert-any"
855 - Added support for matching modules by attribute (A:<expr>)
856 - Added "select -none"
857 - Added support for r:<expr> pattern for matching cell parameters
858 - Added support for !=, <, <=, >=, > for attribute and parameter matching
859 - Added support for %s for selecting sub-modules
860 - Added support for %m for expanding selections to whole modules
861 - Added support for i:*, o:* and x:* pattern for selecting module ports
862 - Added support for s:<expr> pattern for matching wire width
863 - Added support for %a operation to select wire aliases
864
865 * Various other changes to commands and options:
866 - The "ls" command now supports wildcards
867 - Added "show -pause" and "show -format dot"
868 - Added "show -color" support for cells
869 - Added "show -label" and "show -notitle"
870 - Added "dump -m" and "dump -n"
871 - Added "history" command
872 - Added "rename -hide"
873 - Added "connect" command
874 - Added "splitnets -driver"
875 - Added "opt_const -mux_undef"
876 - Added "opt_const -mux_bool"
877 - Added "opt_const -undriven"
878 - Added "opt -mux_undef -mux_bool -undriven -purge"
879 - Added "hierarchy -libdir"
880 - Added "hierarchy -purge_lib" (by default now do not remove lib cells)
881 - Added "delete" command
882 - Added "dump -append"
883 - Added "setattr" and "setparam" commands
884 - Added "design -stash/-copy-from/-copy-to"
885 - Added "copy" command
886 - Added "splice" command
887