Add v2 memory cells.
[yosys.git] / CHANGELOG
1
2 List of major changes and improvements between releases
3 =======================================================
4
5
6 Yosys 0.9 .. Yosys 0.9-dev
7 --------------------------
8
9 * Various
10 - Added "write_xaiger" backend
11 - Added "abc9" pass for timing-aware techmapping (experimental, FPGA only)
12 - Added "synth_xilinx -abc9" (experimental)
13 - Added "synth_ice40 -abc9" (experimental)
14 - Added "synth -abc9" (experimental)
15 - Added "script -scriptwire"
16 - Added "synth_xilinx -nocarry"
17 - Added "synth_xilinx -nowidelut"
18 - Added "synth_ecp5 -nowidelut"
19 - "synth_xilinx" to now infer wide multiplexers (-widemux <min> to enable)
20 - Renamed labels/options in synth_ice40 (e.g. dram -> map_lutram; -nodram -> -nolutram)
21 - Renamed labels/options in synth_ecp5 (e.g. dram -> map_lutram; -nodram -> -nolutram)
22 - Renamed labels in synth_intel (e.g. bram -> map_bram)
23 - Renamed labels/options in synth_xilinx (e.g. dram -> map_lutram; -nodram -> -nolutram)
24 - Added automatic gzip decompression for frontends
25 - Added $_NMUX_ cell type
26 - Added automatic gzip compression (based on filename extension) for backends
27 - Improve attribute and parameter encoding in JSON to avoid ambiguities between
28 bit vectors and strings containing [01xz]*
29 - Added "clkbufmap" pass
30 - Added "extractinv" pass and "invertible_pin" attribute
31 - Added "synth_xilinx -family xc6s" for Spartan 6 support (experimental)
32 - Added "synth_xilinx -ise" (experimental)
33 - Added "synth_xilinx -iopad"
34 - "synth_xilinx" now automatically inserts clock buffers (add -noclkbuf to disable)
35 - Improvements in pmgen: subpattern and recursive matches
36 - Added "opt_share" pass, run as part of "opt -full"
37 - Added "ice40_wrapcarry" to encapsulate SB_LUT+SB_CARRY pairs for techmapping
38 - Removed "ice40_unlut"
39 - Improvements in pmgen: slices, choices, define, generate
40 - Added "xilinx_srl" for Xilinx shift register extraction
41 - Removed "shregmap -tech xilinx" (superseded by "xilinx_srl")
42 - Added "_TECHMAP_WIREINIT_*_" parameter and "_TECHMAP_REMOVEINIT_*_" wire for "techmap" pass
43 - Added "-match-init" option to "dff2dffs" pass
44 - Added "techmap_autopurge" support to techmap
45 - Added "add -mod <modname[s]>"
46 - Added +/mul2dsp.v for decomposing wide multipliers to custom-sized ones
47 - Added "ice40_dsp" for Lattice iCE40 DSP packing
48 - Added "xilinx_dsp" for Xilinx DSP packing
49 - "synth_xilinx" to now infer DSP blocks (-nodsp to disable)
50 - "synth_ecp5" to now infer DSP blocks (-nodsp to disable, experimental)
51 - "synth_ice40 -dsp" to infer DSP blocks
52 - Added latch support to synth_xilinx
53 - Added support for flip-flops with synchronous reset to synth_xilinx
54 - Added support for flip-flops with reset and enable to synth_xilinx
55 - Added "check -mapped"
56 - Added checking of SystemVerilog always block types (always_comb,
57 always_latch and always_ff)
58 - Added support for SystemVerilog wildcard port connections (.*)
59 - Added "xilinx_dffopt" pass
60 - Added "scratchpad" pass
61 - Added "synth_xilinx -dff"
62 - Improved support of $readmem[hb] Memory Content File inclusion
63 - Added "opt_lut_ins" pass
64 - Added "logger" pass
65 - Added "design -delete"
66 - Added "select -unset"
67 - Use YosysHQ/abc instead of upstream berkeley-abc/abc
68 - Added $divfloor and $modfloor cells
69 - Added $adffe, $dffsre, $sdff, $sdffe, $sdffce, $adlatch cells
70 - Added "dfflegalize" pass
71 - Added "_TECHMAP_CELLNAME_" parameter for "techmap" pass
72 - Merged "dffsr2dff", "opt_rmdff", "dff2dffe", "dff2dffs", "peepopt.dffmux" passes into a new "opt_dff" pass
73 - Added $meminit_v2 cells (with support for write mask)
74 - Added $mem_v2, $memrd_v2, $memwr_v2, with the following features:
75 - write priority masks, per write/write port pair
76 - transparency and undefined collision behavior masks, per read/write port pair
77 - read port reset and initialization
78 - wide ports (accessing a naturally aligned power-of-two number of memory cells)
79
80 Yosys 0.8 .. Yosys 0.9
81 ----------------------
82
83 * Various
84 - Many bugfixes and small improvements
85 - Added support for SystemVerilog interfaces and modports
86 - Added "write_edif -attrprop"
87 - Added "opt_lut" pass
88 - Added "gate2lut.v" techmap rule
89 - Added "rename -src"
90 - Added "equiv_opt" pass
91 - Added "flowmap" LUT mapping pass
92 - Added "rename -wire" to rename cells based on the wires they drive
93 - Added "bugpoint" for creating minimised testcases
94 - Added "write_edif -gndvccy"
95 - "write_verilog" to escape Verilog keywords
96 - Fixed sign handling of real constants
97 - "write_verilog" to write initial statement for initial flop state
98 - Added pmgen pattern matcher generator
99 - Fixed opt_rmdff handling of $_DFFSR_???_ and $_DLATCHSR_???_
100 - Added "setundef -params" to replace undefined cell parameters
101 - Renamed "yosys -D" to "yosys -U", added "yosys -D" to set Verilog defines
102 - Fixed handling of defparam when default_nettype is none
103 - Fixed "wreduce" flipflop handling
104 - Fixed FIRRTL to Verilog process instance subfield assignment
105 - Added "write_verilog -siminit"
106 - Several fixes and improvements for mem2reg memories
107 - Fixed handling of task output ports in clocked always blocks
108 - Improved handling of and-with-1 and or-with-0 in "opt_expr"
109 - Added "read_aiger" frontend
110 - Added "mutate" pass
111 - Added "hdlname" attribute
112 - Added "rename -output"
113 - Added "read_ilang -lib"
114 - Improved "proc" full_case detection and handling
115 - Added "whitebox" and "lib_whitebox" attributes
116 - Added "read_verilog -nowb", "flatten -wb" and "wbflip"
117 - Added Python bindings and support for Python plug-ins
118 - Added "pmux2shiftx"
119 - Added log_debug framework for reduced default verbosity
120 - Improved "opt_expr" and "opt_clean" handling of (partially) undriven and/or unused wires
121 - Added "peepopt" peephole optimisation pass using pmgen
122 - Added approximate support for SystemVerilog "var" keyword
123 - Added parsing of "specify" blocks into $specrule and $specify[23]
124 - Added support for attributes on parameters and localparams
125 - Added support for parsing attributes on port connections
126 - Added "wreduce -keepdc"
127 - Added support for optimising $dffe and $_DFFE_* cells in "opt_rmdff"
128 - Added Verilog wand/wor wire type support
129 - Added support for elaboration system tasks
130 - Added "muxcover -mux{4,8,16}=<cost>"
131 - Added "muxcover -dmux=<cost>"
132 - Added "muxcover -nopartial"
133 - Added "muxpack" pass
134 - Added "pmux2shiftx -norange"
135 - Added support for "~" in filename parsing
136 - Added "read_verilog -pwires" feature to turn parameters into wires
137 - Fixed sign extension of unsized constants with 'bx and 'bz MSB
138 - Fixed genvar to be a signed type
139 - Added support for attributes on case rules
140 - Added "upto" and "offset" to JSON frontend and backend
141 - Several liberty file parser improvements
142 - Fixed handling of more complex BRAM patterns
143 - Add "write_aiger -I -O -B"
144
145 * Formal Verification
146 - Added $changed support to read_verilog
147 - Added "read_verilog -noassert -noassume -assert-assumes"
148 - Added btor ops for $mul, $div, $mod and $concat
149 - Added yosys-smtbmc support for btor witnesses
150 - Added "supercover" pass
151 - Fixed $global_clock handling vs autowire
152 - Added $dffsr support to "async2sync"
153 - Added "fmcombine" pass
154 - Added memory init support in "write_btor"
155 - Added "cutpoint" pass
156 - Changed "ne" to "neq" in btor2 output
157 - Added support for SVA "final" keyword
158 - Added "fmcombine -initeq -anyeq"
159 - Added timescale and generated-by header to yosys-smtbmc vcd output
160 - Improved BTOR2 handling of undriven wires
161
162 * Verific support
163 - Enabled Verific flags vhdl_support_variable_slice and veri_elaborate_top_level_modules_having_interface_ports
164 - Improved support for asymmetric memories
165 - Added "verific -chparam"
166 - Fixed "verific -extnets" for more complex situations
167 - Added "read -verific" and "read -noverific"
168 - Added "hierarchy -chparam"
169
170 * New back-ends
171 - Added initial Anlogic support
172 - Added initial SmartFusion2 and IGLOO2 support
173
174 * ECP5 support
175 - Added "synth_ecp5 -nowidelut"
176 - Added BRAM inference support to "synth_ecp5"
177 - Added support for transforming Diamond IO and flipflop primitives
178
179 * iCE40 support
180 - Added "ice40_unlut" pass
181 - Added "synth_ice40 -relut"
182 - Added "synth_ice40 -noabc"
183 - Added "synth_ice40 -dffe_min_ce_use"
184 - Added DSP inference support using pmgen
185 - Added support for initialising BRAM primitives from a file
186 - Added iCE40 Ultra RGB LED driver cells
187
188 * Xilinx support
189 - Use "write_edif -pvector bra" for Xilinx EDIF files
190 - Fixes for VPR place and route support with "synth_xilinx"
191 - Added more cell simulation models
192 - Added "synth_xilinx -family"
193 - Added "stat -tech xilinx" to estimate logic cell usage
194 - Added "synth_xilinx -nocarry"
195 - Added "synth_xilinx -nowidelut"
196 - "synth_xilinx" to now infer hard shift registers (-nosrl to disable)
197 - Added support for mapping RAM32X1D
198
199 Yosys 0.7 .. Yosys 0.8
200 ----------------------
201
202 * Various
203 - Many bugfixes and small improvements
204 - Strip debug symbols from installed binary
205 - Replace -ignore_redef with -[no]overwrite in front-ends
206 - Added write_verilog hex dump support, add -nohex option
207 - Added "write_verilog -decimal"
208 - Added "scc -set_attr"
209 - Added "verilog_defines" command
210 - Remember defines from one read_verilog to next
211 - Added support for hierarchical defparam
212 - Added FIRRTL back-end
213 - Improved ABC default scripts
214 - Added "design -reset-vlog"
215 - Added "yosys -W regex", "yosys -w regex", and "yosys -e regex"
216 - Added Verilog $rtoi and $itor support
217 - Added "check -initdrv"
218 - Added "read_blif -wideports"
219 - Added support for SystemVerilog "++" and "--" operators
220 - Added support for SystemVerilog unique, unique0, and priority case
221 - Added "write_edif" options for edif "flavors"
222 - Added support for resetall compiler directive
223 - Added simple C beck-end (bitwise combinatorical only atm)
224 - Added $_ANDNOT_ and $_ORNOT_ cell types
225 - Added cell library aliases to "abc -g"
226 - Added "setundef -anyseq"
227 - Added "chtype" command
228 - Added "design -import"
229 - Added "write_table" command
230 - Added "read_json" command
231 - Added "sim" command
232 - Added "extract_fa" and "extract_reduce" commands
233 - Added "extract_counter" command
234 - Added "opt_demorgan" command
235 - Added support for $size and $bits SystemVerilog functions
236 - Added "blackbox" command
237 - Added "ltp" command
238 - Added support for editline as replacement for readline
239 - Added warnings for driver-driver conflicts between FFs (and other cells) and constants
240 - Added "yosys -E" for creating Makefile dependencies files
241 - Added "synth -noshare"
242 - Added "memory_nordff"
243 - Added "setundef -undef -expose -anyconst"
244 - Added "expose -input"
245 - Added specify/specparam parser support (simply ignore them)
246 - Added "write_blif -inames -iattr"
247 - Added "hierarchy -simcheck"
248 - Added an option to statically link abc into yosys
249 - Added protobuf back-end
250 - Added BLIF parsing support for .conn and .cname
251 - Added read_verilog error checking for reg/wire/logic misuse
252 - Added "make coverage" and ENABLE_GCOV build option
253
254 * Changes in Yosys APIs
255 - Added ConstEval defaultval feature
256 - Added {get,set}_src_attribute() methods on RTLIL::AttrObject
257 - Added SigSpec::is_fully_ones() and Const::is_fully_ones()
258 - Added log_file_warning() and log_file_error() functions
259
260 * Formal Verification
261 - Added "write_aiger"
262 - Added "yosys-smtbmc --aig"
263 - Added "always <positive_int>" to .smtc format
264 - Added $cover cell type and support for cover properties
265 - Added $fair/$live cell type and support for liveness properties
266 - Added smtbmc support for memory vcd dumping
267 - Added "chformal" command
268 - Added "write_smt2 -stbv" and "write_smt2 -stdt"
269 - Fix equiv_simple, old behavior now available with "equiv_simple -short"
270 - Change to Yices2 as default SMT solver (it is GPL now)
271 - Added "yosys-smtbmc --presat" (now default in SymbiYosys)
272 - Added "yosys-smtbmc --smtc-init --smtc-top --noinit"
273 - Added a brand new "write_btor" command for BTOR2
274 - Added clk2fflogic memory support and other improvements
275 - Added "async memory write" support to write_smt2
276 - Simulate clock toggling in yosys-smtbmc VCD output
277 - Added $allseq/$allconst cells for EA-solving
278 - Make -nordff the default in "prep"
279 - Added (* gclk *) attribute
280 - Added "async2sync" pass for single-clock designs with async resets
281
282 * Verific support
283 - Many improvements in Verific front-end
284 - Added proper handling of concurent SVA properties
285 - Map "const" and "rand const" to $anyseq/$anyconst
286 - Added "verific -import -flatten" and "verific -import -extnets"
287 - Added "verific -vlog-incdir -vlog-define -vlog-libdir"
288 - Remove PSL support (because PSL has been removed in upstream Verific)
289 - Improve integration with "hierarchy" command design elaboration
290 - Added YOSYS_NOVERIFIC for running non-verific test cases with verific bin
291 - Added simpilied "read" command that automatically uses verific if available
292 - Added "verific -set-<severity> <msg_id>.."
293 - Added "verific -work <libname>"
294
295 * New back-ends
296 - Added initial Coolrunner-II support
297 - Added initial eASIC support
298 - Added initial ECP5 support
299
300 * GreenPAK Support
301 - Added support for GP_DLATCH, GP_SPI, GP_DCMx, GP_COUNTx, etc.
302
303 * iCE40 Support
304 - Add "synth_ice40 -vpr"
305 - Add "synth_ice40 -nodffe"
306 - Add "synth_ice40 -json"
307 - Add Support for UltraPlus cells
308
309 * MAX10 and Cyclone IV Support
310 - Added initial version of metacommand "synth_intel".
311 - Improved write_verilog command to produce VQM netlist for Quartus Prime.
312 - Added support for MAX10 FPGA family synthesis.
313 - Added support for Cyclone IV family synthesis.
314 - Added example of implementation for DE2i-150 board.
315 - Added example of implementation for MAX10 development kit.
316 - Added LFSR example from Asic World.
317 - Added "dffinit -highlow" for mapping to Intel primitives
318
319
320 Yosys 0.6 .. Yosys 0.7
321 ----------------------
322
323 * Various
324 - Added "yosys -D" feature
325 - Added support for installed plugins in $(DATDIR)/plugins/
326 - Renamed opt_const to opt_expr
327 - Renamed opt_share to opt_merge
328 - Added "prep -flatten" and "synth -flatten"
329 - Added "prep -auto-top" and "synth -auto-top"
330 - Using "mfs" and "lutpack" in ABC lut mapping
331 - Support for abstract modules in chparam
332 - Cleanup abstract modules at end of "hierarchy -top"
333 - Added tristate buffer support to iopadmap
334 - Added opt_expr support for div/mod by power-of-two
335 - Added "select -assert-min <N> -assert-max <N>"
336 - Added "attrmvcp" pass
337 - Added "attrmap" command
338 - Added "tee +INT -INT"
339 - Added "zinit" pass
340 - Added "setparam -type"
341 - Added "shregmap" pass
342 - Added "setundef -init"
343 - Added "nlutmap -assert"
344 - Added $sop cell type and "abc -sop -I <num> -P <num>"
345 - Added "dc2" to default ABC scripts
346 - Added "deminout"
347 - Added "insbuf" command
348 - Added "prep -nomem"
349 - Added "opt_rmdff -keepdc"
350 - Added "prep -nokeepdc"
351 - Added initial version of "synth_gowin"
352 - Added "fsm_expand -full"
353 - Added support for fsm_encoding="user"
354 - Many improvements in GreenPAK4 support
355 - Added black box modules for all Xilinx 7-series lib cells
356 - Added synth_ice40 support for latches via logic loops
357 - Fixed ice40_opt lut unmapping, added "ice40_opt -unlut"
358
359 * Build System
360 - Added ABCEXTERNAL and ABCURL make variables
361 - Added BINDIR, LIBDIR, and DATDIR make variables
362 - Added PKG_CONFIG make variable
363 - Added SEED make variable (for "make test")
364 - Added YOSYS_VER_STR make variable
365 - Updated min GCC requirement to GCC 4.8
366 - Updated required Bison version to Bison 3.x
367
368 * Internal APIs
369 - Added ast.h to exported headers
370 - Added ScriptPass helper class for script-like passes
371 - Added CellEdgesDatabase API
372
373 * Front-ends and Back-ends
374 - Added filename glob support to all front-ends
375 - Added avail (black-box) module params to ilang format
376 - Added $display %m support
377 - Added support for $stop Verilog system task
378 - Added support for SystemVerilog packages
379 - Fixed procedural assignments to non-unique lvalues, e.g. {y,y} = {a,b}
380 - Added support for "active high" and "active low" latches in read_blif and write_blif
381 - Use init value "2" for all uninitialized FFs in BLIF back-end
382 - Added "read_blif -sop"
383 - Added "write_blif -noalias"
384 - Added various write_blif options for VTR support
385 - write_json: also write module attributes.
386 - Added "write_verilog -nodec -nostr -defparam"
387 - Added "read_verilog -norestrict -assume-asserts"
388 - Added support for bus interfaces to "read_liberty -lib"
389 - Added liberty parser support for types within cell decls
390 - Added "write_verilog -renameprefix -v"
391 - Added "write_edif -nogndvcc"
392
393 * Formal Verification
394 - Support for hierarchical designs in smt2 back-end
395 - Yosys-smtbmc: Support for hierarchical VCD dumping
396 - Added $initstate cell type and vlog function
397 - Added $anyconst and $anyseq cell types and vlog functions
398 - Added printing of code loc of failed asserts to yosys-smtbmc
399 - Added memory_memx pass, "memory -memx", and "prep -memx"
400 - Added "proc_mux -ifx"
401 - Added "yosys-smtbmc -g"
402 - Deprecated "write_smt2 -regs" (by default on now)
403 - Made "write_smt2 -bv -mem" default, added "write_smt2 -nobv -nomem"
404 - Added support for memories to smtio.py
405 - Added "yosys-smtbmc --dump-vlogtb"
406 - Added "yosys-smtbmc --smtc --dump-smtc"
407 - Added "yosys-smtbmc --dump-all"
408 - Added assertpmux command
409 - Added "yosys-smtbmc --unroll"
410 - Added $past, $stable, $rose, $fell SVA functions
411 - Added "yosys-smtbmc --noinfo and --dummy"
412 - Added "yosys-smtbmc --noincr"
413 - Added "yosys-smtbmc --cex <filename>"
414 - Added $ff and $_FF_ cell types
415 - Added $global_clock verilog syntax support for creating $ff cells
416 - Added clk2fflogic
417
418
419 Yosys 0.5 .. Yosys 0.6
420 ----------------------
421
422 * Various
423 - Added Contributor Covenant Code of Conduct
424 - Various improvements in dict<> and pool<>
425 - Added hashlib::mfp and refactored SigMap
426 - Improved support for reals as module parameters
427 - Various improvements in SMT2 back-end
428 - Added "keep_hierarchy" attribute
429 - Verilog front-end: define `BLACKBOX in -lib mode
430 - Added API for converting internal cells to AIGs
431 - Added ENABLE_LIBYOSYS Makefile option
432 - Removed "techmap -share_map" (use "-map +/filename" instead)
433 - Switched all Python scripts to Python 3
434 - Added support for $display()/$write() and $finish() to Verilog front-end
435 - Added "yosys-smtbmc" formal verification flow
436 - Added options for clang sanitizers to Makefile
437
438 * New commands and options
439 - Added "scc -expect <N> -nofeedback"
440 - Added "proc_dlatch"
441 - Added "check"
442 - Added "select %xe %cie %coe %M %C %R"
443 - Added "sat -dump_json" (WaveJSON format)
444 - Added "sat -tempinduct-baseonly -tempinduct-inductonly"
445 - Added "sat -stepsize" and "sat -tempinduct-step"
446 - Added "sat -show-regs -show-public -show-all"
447 - Added "write_json" (Native Yosys JSON format)
448 - Added "write_blif -attr"
449 - Added "dffinit"
450 - Added "chparam"
451 - Added "muxcover"
452 - Added "pmuxtree"
453 - Added memory_bram "make_outreg" feature
454 - Added "splice -wires"
455 - Added "dff2dffe -direct-match"
456 - Added simplemap $lut support
457 - Added "read_blif"
458 - Added "opt_share -share_all"
459 - Added "aigmap"
460 - Added "write_smt2 -mem -regs -wires"
461 - Added "memory -nordff"
462 - Added "write_smv"
463 - Added "synth -nordff -noalumacc"
464 - Added "rename -top new_name"
465 - Added "opt_const -clkinv"
466 - Added "synth -nofsm"
467 - Added "miter -assert"
468 - Added "read_verilog -noautowire"
469 - Added "read_verilog -nodpi"
470 - Added "tribuf"
471 - Added "lut2mux"
472 - Added "nlutmap"
473 - Added "qwp"
474 - Added "test_cell -noeval"
475 - Added "edgetypes"
476 - Added "equiv_struct"
477 - Added "equiv_purge"
478 - Added "equiv_mark"
479 - Added "equiv_add -try -cell"
480 - Added "singleton"
481 - Added "abc -g -luts"
482 - Added "torder"
483 - Added "write_blif -cname"
484 - Added "submod -copy"
485 - Added "dffsr2dff"
486 - Added "stat -liberty"
487
488 * Synthesis metacommands
489 - Various improvements in synth_xilinx
490 - Added synth_ice40 and synth_greenpak4
491 - Added "prep" metacommand for "synthesis lite"
492
493 * Cell library changes
494 - Added cell types to "help" system
495 - Added $meminit cell type
496 - Added $assume cell type
497 - Added $_MUX4_, $_MUX8_, and $_MUX16_ cells
498 - Added $tribuf and $_TBUF_ cell types
499 - Added read-enable to memory model
500
501 * YosysJS
502 - Various improvements in emscripten build
503 - Added alternative webworker-based JS API
504 - Added a few example applications
505
506
507 Yosys 0.4 .. Yosys 0.5
508 ----------------------
509
510 * API changes
511 - Added log_warning()
512 - Added eval_select_args() and eval_select_op()
513 - Added cell->known(), cell->input(portname), cell->output(portname)
514 - Skip blackbox modules in design->selected_modules()
515 - Replaced std::map<> and std::set<> with dict<> and pool<>
516 - New SigSpec::extend() is what used to be SigSpec::extend_u0()
517 - Added YS_OVERRIDE, YS_FINAL, YS_ATTRIBUTE, YS_NORETURN
518
519 * Cell library changes
520 - Added flip-flops with enable ($dffe etc.)
521 - Added $equiv cells for equivalence checking framework
522
523 * Various
524 - Updated ABC to hg rev 61ad5f908c03
525 - Added clock domain partitioning to ABC pass
526 - Improved plugin building (see "yosys-config --build")
527 - Added ENABLE_NDEBUG Makefile flag for high-performance builds
528 - Added "yosys -d", "yosys -L" and other driver improvements
529 - Added support for multi-bit (array) cell ports to "write_edif"
530 - Now printing most output to stdout, not stderr
531 - Added "onehot" attribute (set by "fsm_map")
532 - Various performance improvements
533 - Vastly improved Xilinx flow
534 - Added "make unsintall"
535
536 * Equivalence checking
537 - Added equivalence checking commands:
538 equiv_make equiv_simple equiv_status
539 equiv_induct equiv_miter
540 equiv_add equiv_remove
541
542 * Block RAM support:
543 - Added "memory_bram" command
544 - Added BRAM support to Xilinx flow
545
546 * Other New Commands and Options
547 - Added "dff2dffe"
548 - Added "fsm -encfile"
549 - Added "dfflibmap -prepare"
550 - Added "write_blid -unbuf -undef -blackbox"
551 - Added "write_smt2" for writing SMT-LIBv2 files
552 - Added "test_cell -w -muxdiv"
553 - Added "select -read"
554
555
556 Yosys 0.3.0 .. Yosys 0.4
557 ------------------------
558
559 * Platform Support
560 - Added support for mxe-based cross-builds for win32
561 - Added sourcecode-export as VisualStudio project
562 - Added experimental EMCC (JavaScript) support
563
564 * Verilog Frontend
565 - Added -sv option for SystemVerilog (and automatic *.sv file support)
566 - Added support for real-valued constants and constant expressions
567 - Added support for non-standard "via_celltype" attribute on task/func
568 - Added support for non-standard "module mod_name(...);" syntax
569 - Added support for non-standard """ macro bodies
570 - Added support for array with more than one dimension
571 - Added support for $readmemh and $readmemb
572 - Added support for DPI functions
573
574 * Changes in internal cell library
575 - Added $shift and $shiftx cell types
576 - Added $alu, $lcu, $fa and $macc cell types
577 - Removed $bu0 and $safe_pmux cell types
578 - $mem/$memwr WR_EN input is now a per-data-bit enable signal
579 - Added $_NAND_ $_NOR_ $_XNOR_ $_AOI3_ $_OAI3_ $_AOI4_ $_OAI4_
580 - Renamed ports of $lut cells (from I->O to A->Y)
581 - Renamed $_INV_ to $_NOT_
582
583 * Changes for simple synthesis flows
584 - There is now a "synth" command with a recommended default script
585 - Many improvements in synthesis of arithmetic functions to gates
586 - Multipliers and adders with many operands are using carry-save adder trees
587 - Remaining adders are now implemented using Brent-Kung carry look-ahead adders
588 - Various new high-level optimizations on RTL netlist
589 - Various improvements in FSM optimization
590 - Updated ABC to hg 5b5af75f1dda (from 2014-11-07)
591
592 * Changes in internal APIs and RTLIL
593 - Added log_id() and log_cell() helper functions
594 - Added function-like cell creation helpers
595 - Added GetSize() function (like .size() but with int)
596 - Major refactoring of RTLIL::Module and related classes
597 - Major refactoring of RTLIL::SigSpec and related classes
598 - Now RTLIL::IdString is essentially an int
599 - Added macros for code coverage counters
600 - Added some Makefile magic for pretty make logs
601 - Added "kernel/yosys.h" with all the core definitions
602 - Changed a lot of code from FILE* to c++ streams
603 - Added RTLIL::Monitor API and "trace" command
604 - Added "Yosys" C++ namespace
605
606 * Changes relevant to SAT solving
607 - Added ezSAT::keep_cnf() and ezSAT::non_incremental()
608 - Added native ezSAT support for vector shift ops
609 - Updated MiniSAT to git 37dc6c67e2 (from 2013-09-25)
610
611 * New commands (or large improvements to commands)
612 - Added "synth" command with default script
613 - Added "share" (finally some real resource sharing)
614 - Added "memory_share" (reduce number of ports on memories)
615 - Added "wreduce" and "alumacc" commands
616 - Added "opt -keepdc -fine -full -fast"
617 - Added some "test_*" commands
618
619 * Various other changes
620 - Added %D and %c select operators
621 - Added support for labels in yosys scripts
622 - Added support for here-documents in yosys scripts
623 - Support "+/" prefix for files from proc_share_dir
624 - Added "autoidx" statement to ilang language
625 - Switched from "yosys-svgviewer" to "xdot"
626 - Renamed "stdcells.v" to "techmap.v"
627 - Various bug fixes and small improvements
628 - Improved welcome and bye messages
629
630
631 Yosys 0.2.0 .. Yosys 0.3.0
632 --------------------------
633
634 * Driver program and overall behavior:
635 - Added "design -push" and "design -pop"
636 - Added "tee" command for redirecting log output
637
638 * Changes in the internal cell library:
639 - Added $dlatchsr and $_DLATCHSR_???_ cell types
640
641 * Improvements in Verilog frontend:
642 - Improved support for const functions (case, always, repeat)
643 - The generate..endgenerate keywords are now optional
644 - Added support for arrays of module instances
645 - Added support for "`default_nettype" directive
646 - Added support for "`line" directive
647
648 * Other front- and back-ends:
649 - Various changes to "write_blif" options
650 - Various improvements in EDIF backend
651 - Added "vhdl2verilog" pseudo-front-end
652 - Added "verific" pseudo-front-end
653
654 * Improvements in technology mapping:
655 - Added support for recursive techmap
656 - Added CONSTMSK and CONSTVAL features to techmap
657 - Added _TECHMAP_CONNMAP_*_ feature to techmap
658 - Added _TECHMAP_REPLACE_ feature to techmap
659 - Added "connwrappers" command for wrap-extract-unwrap method
660 - Added "extract -map %<design_name>" feature
661 - Added "extract -ignore_param ..." and "extract -ignore_parameters"
662 - Added "techmap -max_iter" option
663
664 * Improvements to "eval" and "sat" framework:
665 - Now include a copy of Minisat (with build fixes applied)
666 - Switched to Minisat::SimpSolver as SAT back-end
667 - Added "sat -dump_vcd" feature
668 - Added "sat -dump_cnf" feature
669 - Added "sat -initsteps <N>" feature
670 - Added "freduce -stop <N>" feature
671 - Added "freduce -dump <prefix>" feature
672
673 * Integration with ABC:
674 - Updated ABC rev to 7600ffb9340c
675
676 * Improvements in the internal APIs:
677 - Added RTLIL::Module::add... helper methods
678 - Various build fixes for OSX (Darwin) and OpenBSD
679
680
681 Yosys 0.1.0 .. Yosys 0.2.0
682 --------------------------
683
684 * Changes to the driver program:
685 - Added "yosys -h" and "yosys -H"
686 - Added support for backslash line continuation in scripts
687 - Added support for #-comments in same line as command
688 - Added "echo" and "log" commands
689
690 * Improvements in Verilog frontend:
691 - Added support for local registers in named blocks
692 - Added support for "case" in "generate" blocks
693 - Added support for $clog2 system function
694 - Added support for basic SystemVerilog assert statements
695 - Added preprocessor support for macro arguments
696 - Added preprocessor support for `elsif statement
697 - Added "verilog_defaults" command
698 - Added read_verilog -icells option
699 - Added support for constant sizes from parameters
700 - Added "read_verilog -setattr"
701 - Added support for function returning 'integer'
702 - Added limited support for function calls in parameter values
703 - Added "read_verilog -defer" to suppress evaluation of modules with default parameters
704
705 * Other front- and back-ends:
706 - Added BTOR backend
707 - Added Liberty frontend
708
709 * Improvements in technology mapping:
710 - The "dfflibmap" command now strongly prefers solutions with
711 no inverters in clock paths
712 - The "dfflibmap" command now prefers cells with smaller area
713 - Added support for multiple -map options to techmap
714 - Added "dfflibmap" support for //-comments in liberty files
715 - Added "memory_unpack" command to revert "memory_collect"
716 - Added standard techmap rule "techmap -share_map pmux2mux.v"
717 - Added "iopadmap -bits"
718 - Added "setundef" command
719 - Added "hilomap" command
720
721 * Changes in the internal cell library:
722 - Major rewrite of simlib.v for better compatibility with other tools
723 - Added PRIORITY parameter to $memwr cells
724 - Added TRANSPARENT parameter to $memrd cells
725 - Added RD_TRANSPARENT parameter to $mem cells
726 - Added $bu0 cell (always 0-extend, even undef MSB)
727 - Added $assert cell type
728 - Added $slice and $concat cell types
729
730 * Integration with ABC:
731 - Updated ABC to hg rev 2058c8ccea68
732 - Tighter integration of ABC build with Yosys build. The make
733 targets 'make abc' and 'make install-abc' are now obsolete.
734 - Added support for passing FFs from one clock domain through ABC
735 - Now always use BLIF as exchange format with ABC
736 - Added support for "abc -script +<command_sequence>"
737 - Improved standard ABC recipe
738 - Added support for "keep" attribute to abc command
739 - Added "abc -dff / -clk / -keepff" options
740
741 * Improvements to "eval" and "sat" framework:
742 - Added support for "0" and "~0" in right-hand side -set expressions
743 - Added "eval -set-undef" and "eval -table"
744 - Added "sat -set-init" and "sat -set-init-*" for sequential problems
745 - Added undef support to SAT solver, incl. various new "sat" options
746 - Added correct support for === and !== for "eval" and "sat"
747 - Added "sat -tempinduct" (default -seq is now non-induction sequential)
748 - Added "sat -prove-asserts"
749 - Complete rewrite of the 'freduce' command
750 - Added "miter" command
751 - Added "sat -show-inputs" and "sat -show-outputs"
752 - Added "sat -ignore_unknown_cells" (now produce an error by default)
753 - Added "sat -falsify"
754 - Now "sat -verify" and "sat -falsify" can also be used without "-prove"
755 - Added "expose" command
756 - Added support for @<sel_name> to sat and eval signal expressions
757
758 * Changes in the 'make test' framework and auxiliary test tools:
759 - Added autotest.sh -p and -f options
760 - Replaced autotest.sh ISIM support with XSIM support
761 - Added test cases for SAT framework
762
763 * Added "abbreviated IDs":
764 - Now $<something>$foo can be abbreviated as $foo.
765 - Usually this last part is a unique id (from RTLIL::autoidx)
766 - This abbreviated IDs are now also used in "show" output
767
768 * Other changes to selection framework:
769 - Now */ is optional in */<mode>:<arg> expressions
770 - Added "select -assert-none" and "select -assert-any"
771 - Added support for matching modules by attribute (A:<expr>)
772 - Added "select -none"
773 - Added support for r:<expr> pattern for matching cell parameters
774 - Added support for !=, <, <=, >=, > for attribute and parameter matching
775 - Added support for %s for selecting sub-modules
776 - Added support for %m for expanding selections to whole modules
777 - Added support for i:*, o:* and x:* pattern for selecting module ports
778 - Added support for s:<expr> pattern for matching wire width
779 - Added support for %a operation to select wire aliases
780
781 * Various other changes to commands and options:
782 - The "ls" command now supports wildcards
783 - Added "show -pause" and "show -format dot"
784 - Added "show -color" support for cells
785 - Added "show -label" and "show -notitle"
786 - Added "dump -m" and "dump -n"
787 - Added "history" command
788 - Added "rename -hide"
789 - Added "connect" command
790 - Added "splitnets -driver"
791 - Added "opt_const -mux_undef"
792 - Added "opt_const -mux_bool"
793 - Added "opt_const -undriven"
794 - Added "opt -mux_undef -mux_bool -undriven -purge"
795 - Added "hierarchy -libdir"
796 - Added "hierarchy -purge_lib" (by default now do not remove lib cells)
797 - Added "delete" command
798 - Added "dump -append"
799 - Added "setattr" and "setparam" commands
800 - Added "design -stash/-copy-from/-copy-to"
801 - Added "copy" command
802 - Added "splice" command
803