HDL_workflow.mdwn: Started adding info on how to raise issues.
[libreriscv.git] / HDL_workflow.mdwn
1 [[!toc ]]
2
3 ---
4
5 # HDL workflow
6
7 This section describes the workflow and some best practices for developing
8 the Libre-SOC hardware. We use nmigen, yosys and symbiyosys, and this
9 page is intended not just to help you get set up, it is intended to
10 help advise you of some tricks and practices that will help you become
11 effective team contributors.
12
13 It is particularly important to bear in mind that we are not just
14 "developing code", here: we are creating a "lasting legacy educational
15 resource" for other people to learn from, and for businesses and students
16 alike to be able to use, learn from and augment for their own purposes.
17
18 It is also important to appreciate and respect that we are funded under
19 NLNet's Privacy and Enhanced Trust Programme <http://nlnet.nl/PET>. Full
20 transparency, readability, documentation, effective team communication
21 and formal mathematical proofs for all code at all levels is therefore
22 paramount.
23
24 Therefore, we need not only to be "self-sufficient" (absolutely
25 under no circumstances critically reliant on somebody else's servers
26 **or protocols**) we also need to ensure that everything (including
27 all communication such as the mailing list archives) are recorded,
28 replicable, and accessible in perpetuity. Use of slack or a "forum"
29 either actively prevents or makes that much harder.
30
31 # Collaboration resources
32
33 The main message here: **use the right tool for the right job**.
34
35 * mailing list: general communication and discussion.
36 * irc channel #libre-soc on irc.libera.chat: real(ish)-time communication.
37 * bugtracker: task-orientated, goal-orientated *focussed* discussion.
38 * ikiwiki: document store, information store, and (editable) main website
39 * git repositories: code stores (**not binary or auto-generated output store**)
40 * ftp server (<https://ftp.libre-soc.org/>): large (temporary,
41 auto-generated) file store.
42
43 Note also the lack of a "forum" in the above list. this is very
44 deliberate. forums are a serious distraction when it comes to technical
45 heavily goal-orientated development. recent internet users may enjoy
46 looking up the "AOL metoo postings" meme.
47
48 Note also the complete lack of "social platforms". if we wanted to tell
49 everybody how much better each of us are than anyone else in the team,
50 how many times we made a commit (look at me, look at me, i'm so clever),
51 and how many times we went to the bathroom, we would have installed a
52 social media based project "management" system.
53
54 ## Main contact method: mailing list
55
56 To respect the transparency requirements, conversations need to be
57 public and archived (i.e not skype, not telegram, not discord,
58 and anyone seriously suggesting slack will be thrown to the
59 lions). Therefore we have a mailing list. Everything goes through
60 there. <https://lists.libre-soc.org/mailman/listinfo/libre-soc-dev>
61 therefore please do google "mailing list etiquette" and at the very
62 minimum look up and understand the following:
63
64 * This is a technical mailing list with complex topics. Top posting
65 is completely inappropriate. Don't do it unless you have mitigating
66 circumstances, and even then please apologise and explain ("hello sorry
67 using phone at airport flight soon, v. quick reply: ....")
68 * Always trim context but do not cut excessively to the point where people
69 cannot follow the discussion. Especially do not cut the attribution
70 ("On monday xxx wrote") of something that you are actually replying
71 to.
72 * Use inline replies i.e. reply at the point in the relevant part of
73 the conversation, as if you were actually having a conversation.
74 * Follow standard IETF reply formatting, using ">" for cascaded
75 indentation of other people's replies. If using gmail, please: SWITCH
76 OFF RICH TEXT EDITING.
77 * Please for god's sake do not use "my replies are in a different
78 colour". Only old and highly regarded people still using AOL are allowed
79 to get away with that (such as Mitch).
80 * Start a new topic with a relevant subject line. If an existing
81 discussion changes direction, change the subject line to reflect the
82 new topic (or start a new conversation entirely, without using the
83 "reply" button)
84 * DMARC is a pain on the neck. Try to avoid GPG signed messages. sigh.
85 * Don't send massive attachments. Put them online (no, not on facebook or
86 google drive or anywhere else that demands privacy violations) and provide
87 the link. Which should not require any kind of login to access. ask the
88 listadmin if you don't have anywhere suitable: FTP access can be arranged.
89
90 ### Actionable items from mailing list
91
92 If discussions result in any actionable items, it is important not to
93 lose track of them. Create a bugreport, find the discussion in the
94 archives <https://lists.libre-soc.org/pipermail/libre-soc-dev/>,
95 and put the link actually in the bugtracker as one of the comments.
96
97 At some point in any discussion, the sudden realisation may dawn on one
98 or more people that this is an "actionable" discussion. at that point
99 it may become better to use <https://bugs.libre-soc.org/>
100 itself to continue the discussion rather than to keep on dropping copies
101 of links into the bugtracker. The bugtracker sends copies of comments
102 *to* the list however this is 'one-way' (note from lkcl: because this
103 involves running an automated perl script from email, on every email,
104 on the server, that is a high security risk, and i'm not doing it. sorry.)
105
106 ### Mailing list != editable document store
107
108 Also, please do not use the mailing list as an "information or document
109 store or poor-man's editor" **including not sending large images**.
110 We have the wiki for that. Edit a page and
111 tell people what you did (summarise rather than drop the entire contents
112 at the list) and include the link to the page.
113
114 Or, if it is more appropriate, commit a document (or source code)
115 into the relevant git repository then look up the link in the gitweb
116 source tree browser and post that (in the bugtracker or mailing list)
117 See <https://git.libre-soc.org/>
118
119 ### gmail "spam"ifying the list
120
121 See <https://blog.kittycooper.com/2014/05/keeping-my-mailing-list-emails-out-of-gmails-spam-folder/>
122
123 Basically it is possible to select any message from the list, create a
124 "filter" (under "More"), and, on the 2nd dialog box, click the "never
125 send this to Spam" option.
126
127 ## Bugtracker
128
129 bugzilla. old and highly effective. sign up in the usual way. any
130 problems, ask on the list.
131
132 Please do not ask for the project to be transferred to github or other
133 proprietary nonfree service "because it's soooo convenient", as the
134 lions are getting wind and gout from overfeeding on that one.
135
136 ### How to raise issues
137 If you have discovered a problem in Libre-SOC (software, hardware, etc.),
138 please raise a bug report!
139 Bug reports allow tracking of issues, both to make the developers lives easier,
140 as well as for tracking completed grant-funded work.
141
142 1. Create a bug report.
143 2. CC in Luke (or other relevant member of Libre-SOC).
144 3. Ping on IRC to say a bug has been created.
145
146 ## ikiwiki
147
148 Runs the main libre-soc.org site (including this page). effective,
149 stunningly light on resources, and uses a git repository not a database.
150 That means it can be edited offline.
151
152 Usual deal: register an account and you can start editing and contributing
153 straight away.
154
155 Hint: to create a new page, find a suitable page that would link to it,
156 first, then put the link in of the page you want to create, as if the
157 page already exists. Save that page, and you will find a question mark
158 next to the new link you created. click that link, and it will fire up a
159 "create new page" editor.
160
161 Wiki pages are formatted in [[markdown|ikiwiki/markdown]] syntax.
162
163 Hint again: the wiki is backed by a git repository. Don't go overboard
164 but at the same time do not be afraid that you might "damage" or "lose"
165 pages. Although it would be a minor pain, the pages can always be
166 reverted or edited by the sysadmins to restore things if you get in a tiz.
167
168 Assistance in creating a much better theme greatly appreciated. e.g.
169 <http://www.math.cmu.edu/~gautam/sj/blog/20140720-ikiwiki-navbar.html>
170
171 ## git
172
173 We use git. More on this below. We also use
174 [gitolite3](https://gitolite.com/gitolite/) running on a dedicated server.
175 again, it is extremely effective and low resource utilisation. Reminder:
176 lions are involved if github is mentioned.
177
178 [gitweb](https://git.wiki.kernel.org/index.php/Gitweb) is provided which
179 does a decent job. <https://git.libre-soc.org/>
180
181 [Git](https://en.wikipedia.org/wiki/Git) does version control, ie it
182 tracks changes to files so that previous versions can be got back or
183 compared.
184
185 Checklist page [[HDL_workflow/git_checklist]]
186
187 ## ftp server
188
189 <https://ftp.libre-soc.org/> is available for storing large files
190 that do not belong in a git repository, if we have (or ever need)
191 any. Images (etc.) if small and appropriate should go into the
192 wiki, however .tgz archives (etc.) and, at some point, binaries,
193 should be on the ftp server.
194
195 Ask on the list if you have a file that belongs on the ftp server.
196
197 ## server
198
199 As an aside: all this is "old school" and run on a single core 512MB
200 VM with only a 20GB HDD allocation. it costs only 8 GBP per month from
201 mythic-beasts and means that the project is in no way dependent on anyone
202 else - not microsoft, not google, not facebook, not amazon.
203
204 We tried [gitlab](https://about.gitlab.com/). it didn't go well. please
205 don't ask to replace the above extremely resource-efficient services
206 with it.
207
208 # Hardware
209
210 RAM is the biggest requirement. Minimum 16GB, the more the better (32
211 or 64GB starts to reach "acceptable" levels. Disk space is not hugely
212 critical: 256GB SSD should be more than adequate. Simulations and
213 FPGA compilations however are where raw processing power is a must.
214 High end Graphics Cards are nonessential.
215
216 What is particularly useful is to have hi-res screens (curved is
217 *strongly* recommended if the LCD is over 24in wide, to avoid eyeballs
218 going "prism" through long term use), and to have several of them: the
219 more the better. Either a DisplayLink UD160A (or more modern variant)
220 or simply using a second machine (lower spec hardware because it will
221 run editors) is really effective.
222
223 Also it is really recommended to have a UHD monitor (4k - 3840x2160),
224 or at least 2560x1200. If given a choice, 4:3 aspect ratio is better
225 than 16:9 particularly when using several of them. However, caveat
226 (details below): please when editing do not assume that everyone will
227 have access to such high resolution screens.
228
229 # Operating System
230
231 First install and become familiar with
232 [Debian](https://www.debian.org/) ([Ubuntu](https://ubuntu.com/)
233 if you absolutely
234 must) for standardisation cross-team and so that toolchain installation
235 is greatly simplified. yosys in particular warns that trying to use
236 Windows, BSD or MacOS will get you into a world of pain.
237
238 Only a basic GUI desktop is necessary: fvwm2, xfce4, lxde are perfectly
239 sufficient (alongside wicd-gtk for network management). Other more
240 complex desktops can be used however may consume greater resources.
241
242 # editors and editing
243
244 Whilst this is often a personal choice, the fact that many editors are
245 GUI based and run full-screen with the entire right hand side *and* middle
246 *and* the majority of the left side of the hi-res screen entirely unused
247 and bereft of text leaves experienced developers both amused and puzzled.
248
249 At the point where such full-screen users commit code with line lengths
250 well over 160 characters, that amusement quickly evaporates.
251
252 Where the problems occur with full-screen editor usage is when a project
253 is split into dozens if not hundreds of small files (as this one is). At
254 that point it becomes pretty much essential to have as many as six to
255 eight files open *and on-screen* at once, without overlaps i.e. not in
256 hidden tabs, next to at least two if not three additional free and clear
257 terminals into which commands are regularly and routinely typed (make,
258 git commit, nosetests3 etc). Illustrated with the following 3840x2160
259 screenshot (click to view full image), where *every one* of those 80x70
260 xterm windows is *relevant to the task at hand*.
261
262 [[!img 2020-01-24_11-56.png size=640x ]]
263
264 (hint/tip: fvwm2 set up with "mouse-over to raise focus, rather than
265 additionally requiring a mouse click, can save a huge amount of cumulative
266 development time here, switching between editor terminal(s) and the
267 command terminals).
268
269 Once this becomes necessary, it it turn implies that having greater
270 than 80 chars per line - and running editors full-screen - is a severe
271 hinderance to an essential *and highly effective* workflow technique.
272
273 Additionally, care should be taken to respect that not everyone will have
274 200+ column editor windows and the eyesight of a hawk. They may only have
275 a 1280 x 800 laptop which barely fits two 80x53 xterms side by side.
276 Consequently, having excessively long functions is also a hindrance to
277 others, as such developers with limited screen resources would need to
278 continuously page-up and page-down to read the code even of a single
279 function, in full.
280
281 This helps explain in part, below, why compliance with
282 [pep8](https://pep8.org/) is enforced, including its 80 character limit.
283 In short: not everyone has the same "modern" GUI workflow or has access
284 to the same computing resources as you, so please do respect that.
285
286 More on this concept is
287 [here](https://www.linuxjournal.com/content/line-length-limits).
288 Note *very pointedly* that Linus Torvalds *specifically* states that
289 he does not want Linux kernel development to become the exclusive
290 domain of the "wealthy". That means **no** to assumptions about
291 access to ultra-high resolution screens.
292
293 # Software prerequisites<a name="software-prerequisites"></a>
294
295 **Please make sure if you install manually that you install dependencies
296 in strict order. Failing to adhere to this will result in pip3 downloading
297 unauthorised older software versions. See
298 <http://lists.libre-soc.org/pipermail/libre-soc-dev/2021-September/003666.html>**
299
300 Whilst many resources online advocate "`sudo`" in front of all root-level
301 commands below, this quickly becomes tiresome. run "`sudo bash`", get a
302 root prompt, and save yourself some typing.
303
304 * sudo bash
305 * apt-get install vim exuberant-ctags
306 * apt-get install build-essential
307 * apt-get install git python3.7 python3.7-dev python3-nose
308 * apt-get install graphviz xdot gtkwave
309 * apt-get install python3-venv
310 * apt-get install python-virtualenv # this is an alternative to python3-venv
311 * apt-get install tcl-dev libreadline-dev bison flex libffi-dev iverilog
312 * return to user prompt (ctrl-d)
313
314 (The above assumes that you are running Debian.)
315
316 This will get you python3 and other tools that are
317 needed. [graphviz](https://graphviz.org/) is essential
318 for showing the interconnections between cells, and
319 [gtkwave](http://gtkwave.sourceforge.net/) is essential for debugging.
320
321 If you would like to save yourself a lot more typing, check out the
322 [dev-env-setup](https://git.libre-soc.org/?p=dev-env-setup.git;a=summary)
323 repository, examine the scripts there and use them to automate much of
324 the process below.
325
326 If you would like just to install only the apt dependencies use
327 [install-hdl-apt-reqs](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=install-hdl-apt-reqs;hb=HEAD) instead.
328
329 This page gives more details and a step by step process : [[HDL_workflow/devscripts]]
330
331 ## git
332
333 Look up good tutorials on how to use git effectively. There are so many
334 it is hard to recommend one. This is however essential. If you are not
335 comfortable with git, and you let things stay that way, it will seriously
336 impede development progress.
337
338 If working all day you should expect to be making at least two commits per
339 hour, so should become familiar with it very quickly. If you are *not*
340 doing around 2 commits per hour, something is wrong and you should read
341 the workflow instructions below more carefully, and also ask for advice
342 on the mailing list.
343
344 Worth noting: *this project does not use branches*. All code is committed
345 to master and we *require* that it be either zero-impact additions or that
346 relevant unit tests pass 100%. This ensures that people's work does not
347 get "lost" or isolated and out of touch due to major branch diversion,
348 and that people communicate and coordinate with each other.
349
350 This is not a hard rule: under special cirmstances branches can be useful.
351 They should not however be considered "routine".
352
353 For advice on commit messages see
354 [here](https://tbaggery.com/2008/04/19/a-note-about-git-commit-messages.html),
355 and [here](https://github.com/torvalds/subsurface-for-dirk/blob/master/README.md#contributing)).
356
357 ## yosys
358
359 Follow the source code (git clone) instructions here, do **not** use
360 the "stable" version (do not download the tarball):
361 <https://github.com/YosysHQ/yosys>
362
363 Or, alternatively, use the
364 [hdl-tools-yosys](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=hdl-tools-yosys;hb=HEAD)
365 script (which also installs symbiyosys and its dependencies)
366
367 Do not try to use a fixed revision of yosys (currently 0.9), nmigen is
368 evolving and frequently interacts with yosys.
369
370 [Yosys](https://github.com/YosysHQ/yosys is a framework for Verilog RTL.
371 [Verilog](https://en.wikipedia.org/wiki/Verilog) is a hardware description
372 language.
373 RTL [Register Transfer
374 Level](https://en.wikipedia.org/wiki/Register-transfer_level)
375 models how data moves between
376 [registers](https://en.wikipedia.org/wiki/Hardware_register).
377
378 ## symbiyosys
379
380 To install follow the [instructions
381 here](https://symbiyosys.readthedocs.io/en/latest/install.html)
382 Once done look at [A simple BMC
383 example](https://symbiyosys.readthedocs.io/en/latest/quickstart.html)
384
385 You do not have to install all of those (avy, boolector can be left
386 out if desired) however the more that are installed the more effective
387 the formal proof scripts will be (less resource utilisation in certain
388 circumstances).
389
390 [SymbiYosys](https://symbiyosys.readthedocs.io/en/latest/) (sby) is a
391 front-end driver program for Yosys-based formal hardware verification
392 flows.
393
394 ## nmigen (TM)
395
396 *nmigen is a registered trademark of M-Labs <https://uspto.report/TM/88980893>*
397
398 **PLEASE NOTE: it is critical to install nmigen as the first dependency
399 prior to installing any further python-based Libre-SOC HDL repositories.
400 If "pip3 list" shows that nmigen has been auto-installed please remove it**
401
402 [nmigen](https://m-labs.hk/gateware/nmigen/) may be installed as follows:
403
404 * mkdir ~/src
405 * cd !$
406 * git clone https://gitlab.com/nmigen/nmigen.git
407 * cd nmigen
408 * sudo bash
409 * python3 setup.py develop
410 * ctrl-d
411
412 Testing can then be carried out with "python3 setup.py test"
413
414 nmigen is a Python toolbox for building complex digital hardware.
415
416 ## Softfloat and sfpy
417
418 These are a test suite dependency for the
419 [ieee754fpu](https://www.gaisler.com/index.php/products/ipcores/ieee754fpu)
420 library, and will be changed in the future to use Jacob's
421 [simple-soft-float](https://crates.io/crates/simple-soft-float) library.
422 In the meantime, sfpy can be built as follows:
423
424 git clone --recursive https://github.com/billzorn/sfpy.git
425 cd sfpy
426 cd SoftPosit
427 git apply ../softposit_sfpy_build.patch
428 git apply /path/to/ieee754fpu/SoftPosit.patch
429 cd ../berkely-softfloat-3
430 # Note: Do not apply the patch included in sfpy for berkely-softfloat,
431 # it contains the same changes as this one
432 git apply /path/to/ieee754fpu/berkeley-softfloat.patch
433 cd ..
434
435 # prepare a virtual environment for building
436 python3 -m venv .env
437
438 # or, if you prefer the old way:
439 # virtualenv -p python3 .env
440
441 # install dependencies
442 source .env/bin/activate
443 pip3 install --upgrade -r requirements.txt
444
445 # build
446 make lib -j$(nproc)
447 make cython
448 make inplace -j$(nproc)
449 make wheel
450
451 # install
452 deactivate # deactivates venv, optional
453 pip3 install dist/sfpy*.whl
454
455 You can test your installation by doing the following:
456
457 python3
458 >>> from sfpy import Posit8
459 >>> Posit8(1.3)
460
461 It should print out `Posit8(1.3125)`
462
463 ## qemu, cross-compilers, gdb
464
465 As we are doing POWER ISA, POWER ISA compilers, toolchains and
466 emulators are required.
467 Again, if you want to save yourself some typing, use the dev scripts.
468 [install-hdl-apt-reqs](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=install-hdl-apt-reqs;hb=HEAD)
469 script will install the qemu;
470 [ppc64-gdb-gcc](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=ppc64-gdb-gcc;hb=HEAD)
471 script will install the toolchain and the corresponding debugger.
472 The steps are provided below only for reference; when in doubt,
473 consider checking and running the scripts.
474
475 Install powerpc64 gcc:
476
477 apt-get install gcc-8-powerpc64-linux-gnu
478
479 Install qemu:
480
481 apt-get install qemu-system-ppc
482
483 Install gdb from source. Obtain the required tarball matching
484 the version of gcc (8.3) from here <https://ftp.gnu.org/gnu/gdb/>,
485 unpack it, then:
486
487 cd gdb-8.3 (or other location)
488 mkdir build
489 cd build
490 ../configure --srcdir=.. --host=x86_64-linux --target=powerpc64-linux-gnu
491 make -j$(nproc)
492 make install
493
494 [gdb](https://en.wikipedia.org/wiki/GNU_Debugger) lets you debug running
495 programs. [qemu](https://www.qemu.org/) emulates processors, you can
496 run programs under qemu.
497
498 ## power-instruction-analyzer (pia)
499
500 We have a custom tool built in Rust by programmerjake to help analyze
501 the OpenPower instructions' execution on *actual* hardware.
502
503 Install Rust:
504
505 curl --proto '=https' --tlsv1.2 -sSf https://sh.rustup.rs | sh
506
507 Make sure we have the correct and up-to-date rust compiler (rustc & cargo):
508
509 rustup default stable
510 rustup update
511
512 Install the Python extension from git source by doing the following:
513
514 git clone https://salsa.debian.org/Kazan-team/power-instruction-analyzer.git pia
515 cd pia
516 ./libre-soc-install.sh
517
518 ## Chips4Makers JTAG
519
520 As this is an actual ASIC, we do not rely on an FPGA's JTAG TAP
521 interface, instead require a full complete independent implementation
522 of JTAG. Staf Verhaegen has one, with a full test suite, and it is
523 superb and well-written. The Libre-SOC version includes DMI (Debug
524 Memory Interface):
525
526 git clone https://git.libre-soc.org/git/c4m-jtag.git/
527 cd c4m-jtag
528 python3 setup.py develop
529
530 Included is an IDCODE tap point, Wishbone Master (for direct memory read
531 and write, fully independent of the core), IOPad redirection and testing,
532 and general purpose shift register capability for any custom use.
533
534 We added a DMI to JTAG bridge in LibreSOC which is
535 directly connected to the core, to access registers and
536 to be able to start and stop the core and change the PC.
537 In combination with the JTAG Wishbone interface the test
538 [ASIC](https://en.wikipedia.org/wiki/Application-specific_integrated_circuit)
539 can have a bootloader uploaded directly into onboard
540 [SRAM](https://en.wikipedia.org/wiki/Static_random-access_memory) and
541 execution begun.
542
543 [Chips4Makers](https://chips4makers.io/) make it possible for makers
544 and hobbyists to make their own open source chips.
545
546 [JTAG](https://en.wikipedia.org/wiki/JTAG) (Joint Test Action Group) is
547 an industry standard for verifying designs and testing printed circuit
548 boards after manufacture.
549
550 The [Wishbone
551 bus](https://en.wikipedia.org/wiki/Wishbone_%28computer_bus%29) is an open
552 source hardware computer bus intended to let the parts of an integrated
553 circuit communicate with each other.
554
555 ## Coriolis2
556 See [[HDL_workflow/coriolis2]] page, for those people doing layout work.
557
558 ## Nextpnr
559
560 A portable FPGA place and route tool.
561
562 See [[HDL_workflow/nextpnr]] page for installation instructions of nextpnr with ECP5 support for Lattice FPGA ECP5 series. Also see
563 [[HDL_workflow/ECP5_FPGA]] for connecting up to JTAG with a ULX3S
564 and the Lattice VERSA_ECP5.
565
566 ## Nextpnr-xilinx
567
568 An open source place and route framework for Xilinx FPGAs using Project Xray. We will use it for Xilinx 7-series FPGAs like Artix-7.
569
570 One of the ways to get Arty A7 100t Digilent FPGA board working.
571
572 See [[HDL_workflow/nextpnr-xilinx]] for installation instructions and dependencies.
573
574
575 ## Verilator
576
577 The fastest Verilog and SystemVerilog simulator. It compiles Verilog to C++ or SystemC.
578
579 Advise use only v4.106 at the moment.
580
581 See [[HDL_workflow/verilator]] page for installation instructions.
582
583 ## GHDL
584
585 GHDL is a shorthand for G Hardware Design Language. It is a VHDL analyzer, compiler, simulator and (experimental) synthesizer that can process (nearly) any VHDL design.
586
587 VHDL is an acronym for Very High Speed Integrated Circuit (VHSIC) Hardware Description Language (HDL), which is a programming language used to describe a logic circuit by function, data flow behavior, or structure.
588
589 Unlike some other simulators, GHDL is a compiler: it directly translates a VHDL file to machine code, without using an intermediary language such as C or C++. Therefore, the compiled code should be faster and the analysis time should be shorter than with a compiler using an intermediary language.
590
591 GHDL aims at implementing VHDL as defined by IEEE 1076. It supports the 1987, 1993 and 2002 revisions and, partially, 2008. PSL is also partially supported.
592
593 See [[HDL_workflow/ghdl]] page for installation instructions.
594
595 ## Icarus Verilog
596
597 Icarus Verilog is a Verilog simulation and synthesis tool. It operates as a compiler, compiling source code written in Verilog (IEEE-1364) into some target format.
598
599 See [[HDL_workflow/iverilog]] page for installation instructions.
600
601 ## Cocotb
602
603 cocotb is a COroutine based COsimulation TestBench environment for verifying VHDL and SystemVerilog RTL using Python.
604
605 See [[HDL_workflow/cocotb]] page for installation instructions.
606
607 ## Symbiflow
608
609 A fully open source toolchain for the development of FPGAs. Currently it targets Xilinx 7-series, Lattice iCE40 and ECP5, Quicklogic EOS S3.
610
611 One way to get the Arty A7 100t Digilent FPGA board working.
612
613 See [[HDL_workflow/symbiflow]] for installation instructions
614 and dependencies.
615
616 ## FPGA/Board Boot-Loaders-Programmers
617
618 Open source FPGA/Board boot-loaders and programmers for ULX3S, ECP5 and
619 OrangeCrab.
620
621 Currently these programs dfu-util, openFPGALoader, ujprog, fujprog,
622 xc3sprog and ecpprog are going to be used.
623
624 See [[HDL_workflow/fpga-boot-loaders-progs]] for installation instructions and dependencies.
625
626 ## ls2 peripheral fabric
627
628 [[HDL_workflow/ls2]]
629
630 # Registering for git repository access<a name="gitolite3_access"></a>
631
632 After going through the onboarding process and having agreed to take
633 responsibility for certain tasks, ask on the mailing list for git
634 repository access, sending in a public key (`id_rsa.pub`). If you do
635 not have one then generate it with `ssh-keygen -t rsa`. You will find it
636 in `~/.ssh`
637
638 NEVER SEND ANYONE THE PRIVATE KEY. By contrast the public key, on
639 account of being public, is perfectly fine to make... err... public.
640
641 Create a file `~/.ssh/config` with the following lines:
642
643 Host git.libre-soc.org
644 Port 922
645
646 Test that you have access with this command:
647
648 ssh -v -p922 gitolite3@git.libre-soc.org
649
650 Please note: **DO NOT TYPE A PASSWORD** - the server gets hit by a lot of
651 port-scanning, and detection of password failures are used to instantly
652 ban IP addresses.
653
654 Wait for the Project Admin to confirm that the ssh key has been added
655 to the required repositories. Once confirmed, you can clone any of the
656 repos at https://git.libre-soc.org/:
657
658 git clone gitolite3@git.libre-soc.org:REPONAME.git
659
660 Alternatively, the .ssh/config can be skipped and this used:
661
662 git clone ssh://gitolite3@git.libre-soc.org:922/REPONAME.git
663
664 Note: **DO NOT ATTEMPT TO LOG IN TO THE SERVER WITH A PERSONAL ACCOUNT**.
665 fail2ban is running and, due to repeated persistent port-scanning spammers
666 is set up to instantly ban any unauthorised ssh access for up to two weeks.
667 This keeps log file sizes down on the server (which is resource-constrained).
668 If you are wondering why this is done, it's a *lot* of port-scans.
669
670 Therefore, *only* ssh in to server with the gitolite3 account, *only*
671 on port 922, and *only* once the systems administrator has given you
672 the all-clear that the ssh key has been added.
673
674 # git configuration
675
676 Although there are methods online which describe how (and why) these
677 settings are normally done, honestly it is simpler and easier to open
678 ~/.gitconfig and add them by hand.
679
680 core.autocrlf is a good idea to ensure that anyone adding DOS-formatted
681 files they don't become a pain. pull.rebase is something that is greatly
682 preferred for this project because it avoids the mess of "multiple
683 extra merge git tree entries", and branch.autosetuprebase=always will,
684 if you want it, always ensure that a new git checkout is set up with rebase.
685
686 [core]
687 autocrlf = input
688 [push]
689 default = simple
690 [pull]
691 rebase = true
692 [branch]
693 autosetuprebase = always
694
695 # Checking out the HDL repositories
696
697 Before running the following, install the
698 dependencies. This is easiest done with this script
699 <https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=install-hdl-apt-reqs;hb=HEAD>
700
701 **It is critically important to install these in STRICT order, otherwise
702 pip3 interferes and performs unauthorised downloads without informing
703 you of what it is doing**.
704
705 * mkdir ~/src
706 * cd !$
707 * git clone https://gitlab.com/nmigen/nmigen
708 * git clone https://gitlab.com/nmigen/nmigen-boards
709 * git clone https://gitlab.com/nmigen/nmigen-soc
710 * git clone https://gitlab.com/nmigen/nmigen-stdio
711 * git clone gitolite3@git.libre-soc.org:c4m-jtag.git
712 * git clone gitolite3@git.libre-soc.org:nmutil.git
713 * git clone gitolite3@git.libre-soc.org:openpower-isa.git
714 * git clone gitolite3@git.libre-soc.org:ieee754fpu.git
715 * git clone gitolite3@git.libre-soc.org:soc.git
716
717 In each of these directories, **in the order listed**, track down the
718 `setup.py` file, then, as root (`sudo bash`), run the following:
719
720 * python3 setup.py develop
721
722 The reason for using "develop" mode is that the code may be edited
723 in-place yet still imported "globally". There are variants on this theme
724 for multi-user machine use however it is often just easier to get your
725 own machine these days.
726
727 The reason for the order is because soc depends on ieee754fpu, and
728 ieee754fpu depends on nmutil. If you do not follow the listed order
729 pip3 will go off and download an arbitrary version without your
730 consent.
731
732 If "`python3 setup.py install`" is used it is a pain: edit, then
733 install. edit, then install. It gets extremely tedious, hence why
734 "develop" was created.
735
736 If you prefer you can use this script instead: of course you checked it
737 in advance and accept full responsibility.
738 <https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=hdl-dev-repos;hb=HEAD>
739
740 # Development Rules
741
742 Team communication:
743
744 * new members, add yourself to the [[about_us]] page and create yourself
745 a home page using someone else's page as a template.
746 * communicate on the mailing list or the bugtracker an intent to take
747 responsibility for a particular task.
748 * assign yourself as the bug's owner
749 * *keep in touch* about what you are doing, and why you are doing it.
750 * edit your home page regularly, particularly to track tasks so that
751 they can be paid by NLNet.
752 * if you cannot do something that you have taken responsibility for,
753 then unless it is a dire personal emergency please say so, on-list. we
754 won't mind. we'll help sort it out.
755
756 Regarding the above it is important that you read, understand, and agree
757 to the [[charter]] because the charter is about ensuring that we operate
758 as an effective organisation. It's *not* about "setting rules and meting
759 out punishment".
760
761 ## Coding
762
763 for actual code development
764
765 ### Plan unit tests
766
767 * plan in advance to write not just code but a full test suite for
768 that code. **this is not optional**. large python projects that do not
769 have unit tests **FAIL** (see separate section below).
770 * Prioritise writing formal proofs and a single clear unit test that is more
771 like a "worked example".
772 We receive NLNet funds for writing formal proofs, plus they
773 cover corner cases and take far less time to write
774
775 ### Commit tested or zero-dependent code
776
777 * only commit code that has been tested (or is presently unused). other
778 people will be depending on you, so do take care not to screw up.
779 not least because, as it says in the [[charter]] it will be your
780 responsibility to fix. that said, do not feel intimidated: ask for help
781 and advice, and you'll get it straight away.
782
783 ### Commit often
784
785 * commit often. several times a day, and "git push" it. this is
786 collaboration. if something is left even overnight uncommitted and not
787 pushed so that other people can see it, it is a red flag.
788 * if you find
789 yourself thinking "i'll commit it when it's finished" or "i don't want to
790 commit something that people might criticise" *this is not collaboration*,
791 it is making yourself a bottleneck. pair-programming is supposed to help
792 avoid this kind of thing however pair-programming is difficult to organise
793 for remote collaborative libre projects (suggestions welcomed here)
794
795 ### Enable editor auto-detection of file changes by external programs
796
797 This is important. "`git pull`" will merge in changes. If you then
798 arbitrarily save a file without re-loading it, you risk destroying
799 other people's work.
800
801 You can avoid damaging the repositories by following some simple procedures:
802
803 run appropriate unit tests
804 git pull
805 run appropriate unit tests again (checks other people's work)
806 git diff # and actually read and review the output
807 git status # check for any missing files
808 git commit # with appropriate arguments and message
809 git push # always always always do this
810
811 ### Absolutely no auto-generated output
812
813 * **do not commit autogenerated output**. write a shell script and commit
814 that, or add a `Makefile` to run the command that generates the output, but
815 **do not** add the actual output of **any** command to the repository.
816 ever. this is really important. even if it is a human-readable file
817 rather than a binary object file.
818 * it is very common to add PDFs (the result of running `latex2pdf`) or
819 configure.in (the result of running `automake`), they are an absolute
820 nuisance and interfere hugely with git diffs, as well as waste hard
821 disk space *and* network bandwidth. don't do it.
822 * do not add multi-megabyte or multi-gigabyte "test data".
823 use shell scripts and commit that, which automatically downloads the
824 "test data" from a well-known known-good reliable location instead.
825
826 ### Write commands that do tasks and commit those
827
828 * if the command needed to create any given autogenerated output is not
829 currently in the list of known project dependencies, first consult on
830 the list if it is okay to make that command become a hard dependency of
831 the project (hint: java, node.js php and .NET commands may cause delays
832 in response time due to other list participants laughing hysterically),
833 and after a decision is made, document the dependency and how its source
834 code is obtained and built (hence why it has to be discussed carefully)
835 * if you find yourself repeating commands regularly, chances are high
836 that someone else will need to run them, too. clearly this includes
837 yourself, therefore, to make everyone's lives easier including your own,
838 put them into a `.sh` shell script (and/or a `Makefile`), commit them to
839 the repository and document them at the very minimum in the README,
840 INSTALL.txt or somewhere in a docs folder as appropriate. if unsure,
841 ask on the mailing list for advice.
842
843 ### Keep commits single-purpose
844
845 * edit files making minimal *single purpose* modifications (even if
846 it involves multiple files. Good extreme example: globally changing
847 a function name across an entire codebase is one purpose, one commit,
848 yet hundreds of files. miss out one of those files, requiring multiple
849 commits, and it actually becomes a nuisance).
850
851 ### Run unit tests prior to commits
852
853 * prior to committing make sure that relevant unit tests pass, or that
854 the change is a zero-impact addition (no unit tests fail at the minimum)
855
856 ### Do not break existing code
857
858 * keep working code working **at all times**. find ways to ensure that
859 this is the case. examples include writing alternative classes that
860 replace existing functionality and adding runtime options to select
861 between old and new code.
862
863 ### Small commits with relevant commit message
864
865 * commit no more than around 5 to 10 lines at a time, with a CLEAR message
866 (no "added this" or "changed that").
867 * if as you write you find that the commit message involves a *list* of
868 changes or the word "and", then STOP. do not proceed: it is a "red flag"
869 that the commit has not been properly broken down into separate-purpose
870 commits. ask for advice on-list on how to proceed.
871
872 ### Exceptions to small commit: atomic single purpose commit
873
874 * if it is essential to commit large amounts of code, ensure that it
875 is **not** in use **anywhere** by any other code. then make a *small*
876 (single purpose) followup commit which actually puts that code into use.
877
878 This last rule is kinda flexible, because if you add the code *and* add
879 the unit test *and* added it into the main code *and* ran all relevant
880 unit tests on all cascade-impacted areas by that change, that's perfectly
881 fine too. however if it is the end of a day, and you need to stop and
882 do not have time to run the necessary unit tests, do *not* commit the
883 change which integrates untested code: just commit the new code (only)
884 and follow up the next day *after* running the full relevant unit tests.
885
886 ### Why such strict rules?
887
888 The reason for all the above is because python is a dynamically typed
889 language. make one tiny change at the base level of the class hierarchy
890 and the effect may be disastrous.
891
892 It is therefore worth reiterating: make absolutely certain that you *only*
893 commit working code or zero-impact code.
894
895 Therefore, if you are absolutely certain that a new addition (new file,
896 new class, new function) is not going to have any side-effects, committing
897 it (a large amount of code) is perfectly fine.
898
899 As a general rule, however, do not use this an an excuse to write code
900 first then write unit tests as an afterthought. write *less* code *in
901 conjunction* with its (more basic) unit tests, instead. then, folliw up with
902 additions and improvements.
903
904 The reason for separating out commits to single purpose only becomes
905 obvious (and regretted if not followed) when, months later, a mistake
906 has to be tracked down and reverted. if the commit does not have an
907 easy-to-find message, it cannot even be located, and once found, if the
908 commit confuses several unrelated changes, not only the diff is larger
909 than it should be, the reversion process becomes extremely painful.
910
911 ### PHP-style python format-strings
912
913 As the name suggests, "PHP-style" is not given as a compliment.
914 Format-strings - `f"{variable} {pythoncodefragment}" are a nightmare
915 to read. The lesson from PHP, Zope and Plone: when code is embedded,
916 the purpose of the formatting - the separation of the format from
917 the data to be placed in it - is merged, and consequently become
918 unreadable.
919
920 By contrast, let us imagine a situation where 12 variables need to
921 be inserted into a string, four of which are the same variablename:
922
923 x = "%s %s %s %s %s %s %s %s %s %s %s %s" % (var1, var2, var3,
924 var3, var4, var2,
925 var1, var9, var1,
926 var3, var4, var1)
927
928 This is just as unreadable, but for different reasons. Here it *is*
929 useful to do this as:
930
931 x = f"{var1} {var2} {var3}" \
932 ...
933 f"{var3} {var4} {var1}"
934
935 As a general rule, though, format-specifiers should be strongly
936 avoided, given that they mix even variable-names directly inside
937 a string.
938
939 This additionally gives text editors (and online web syntax
940 highlighters) the opportunity to colour syntax-highlight the
941 ASCII string (the format) from the variables to be inserted *into*
942 that format. gitweb for example (used by this project) cannot
943 highlight string-formatted code.
944
945 It turns out that colour is processed by the **opposite** hemisphere
946 of the brain from written language. Thus, colour-syntax-highlighting
947 is not just a "nice-to-have", it's **vital** for easier and faster
948 identification of context and an aid to rapid understanding.
949
950 Anything that interferes with that - such as python format-strings -
951 has to take a back seat, regardless of its perceived benefits.
952
953 **If you absolutely must** use python-format-strings, **only** do
954 so by restricting to variables. Create temporary variables if you
955 have to.
956
957 y = '/'.join(a_list)
958 x = f"{y}"
959
960 ### PEP8 format
961
962 * all code needs to conform to pep8. use either pep8checker or better
963 run autopep8. however whenever committing whitespace changes, *make a
964 separate commit* with a commit message "whitespace" or "autopep8 cleanup".
965 * pep8 REQUIRES no more than 80 chars per line. this is non-negotiable. if
966 you think you need greater than 80 chars, it *fundamentally* indicates
967 poor code design. split the code down further into smaller classes
968 and functions.
969
970 ### Docstring checker
971
972 * TBD there is a docstring checker. at the minimum make sure to have
973 an SPD license header, module header docstring, class docstring and
974 function docstrings on at least non-obvious functions.
975
976 ### Clear code commenting and docstrings
977
978 * make liberal but not excessive use of comments. describe a group of
979 lines of code, with terse but useful comments describing the purpose,
980 documenting any side-effects, and anything that could trip you or other
981 developers up. unusual coding techniques should *definitely* contain
982 a warning.
983
984 ### Only one class per module (ish)
985
986 * unless they are very closely related, only have one module (one class)
987 per file. a file only 25 lines long including imports and docstrings
988 is perfectly fine however don't force yourself. again, if unsure,
989 ask on-list.
990
991 ### File and Directory hierarchy
992
993 * *keep files short and simple*. see below as to why
994 * create a decent directory hierarchy but do not go mad. ask for advice
995 if unsure
996
997 ### No import star!
998
999 * please do not use "from module import \*". it is extremely bad practice,
1000 causes unnecessary resource utilisation, makes code readability and
1001 tracking extremely difficult, and results in unintended side-effects.
1002
1003 Example: often you want to find the code from which a class was imported.
1004 nirmally you go to the top of the file, check the imports, and you know
1005 exactly which file has the class because of the import path. by using
1006 wildcards, you have absolutely *no clue* which wildcard imported which
1007 class or classes.
1008
1009 Example: sometimes you may accidentally have duplicate code maintained
1010 in two or more places. editing one of them you find, puzzlingly, that
1011 the code behaves in some files with the old behaviour, but in others it
1012 works. after a massive amount of investigation, you find that the working
1013 files happen to have a wildcard import of the newer accidental duplicate
1014 class **after** the wildcard import of the older class with exactly the
1015 same name. if you had used explicit imports, you would have spotted
1016 the double import of the class from two separate locations, immediately.
1017
1018 Really. don't. use. wildcards.
1019
1020 More about this here:
1021
1022 * <https://www.asmeurer.com/removestar/>
1023 * <https://rules.sonarsource.com/python/RSPEC-2208>
1024
1025 ### Keep file and variables short but clear
1026
1027 * try to keep both filenames and variable names short but not ridiculously
1028 obtuse. an interesting compromise on imports is "from ridiculousfilename
1029 import longsillyname as lsn", and to assign variables as well: "comb =
1030 m.d.comb" followed by multiple "comb += nmigen_stmt" lines is a good trick
1031 that can reduce code indentation by 6 characters without reducing clarity.
1032
1033 Additionally, use comments just above an obtuse variable in order to
1034 help explain what it is for. In combination with keeping the the module
1035 itself short, other readers will not need to scroll back several pages
1036 in order to understand the code.
1037
1038 Yes it is tempting to actually use the variables as
1039 self-explanatory-comments and generally this can be extremely good
1040 practice. the problem comes when the variable is so long that a function
1041 with several parameters csn no longer fit on a single line, and takes
1042 up five to ten lines rather than one or two. at that point, the length
1043 of the code is adversely affected and thus so is readability by forcing
1044 readers to scroll through reams of pages.
1045
1046 It is a tricky balance: basically use your common sense, or just ask
1047 someone else, "can you understand this code?"
1048
1049 ### Reasons for code structure
1050
1051 Regarding code structure: we decided to go with small modules that are
1052 both easy to analyse, as well as fit onto a single page and be readable
1053 when displayed as a visual graph on a full UHD monitor. this is done
1054 as follows:
1055
1056 * using the capability of nmigen (TODO crossref to example) output the
1057 module to a yosys ilang (.il) file
1058 * in a separate terminal window, run yosys
1059 * at the yosys prompt type "read_ilang modulename.il"
1060 * type "show top" and a graphviz window should appear. note that typing
1061 show, then space, then pressing the tab key twice will give a full list
1062 of submodules (one of which will be "top")
1063
1064 You can now fullsize the graphviz window and scroll around. if it looks
1065 reasonably obvious at 100% zoom, i.e the connections can be clearly
1066 related in your mind back to the actual code (by matching the graph names
1067 against signals and modules in the original nmigen code) and the words are
1068 not tiny when zoomed out, and connections are not total incomprehensible
1069 spaghetti, then congratulations, you have well-designed code. If not,
1070 then this indicates a need to split the code further into submodules
1071 and do a bit more work.
1072
1073 The reasons for doing a proper modularisation job are several-fold:
1074
1075 * firstly, we will not be doing a full automated layout-and-hope
1076 using alliance/coriolis2, we will be doing leaf-node thru tree node
1077 half-automated half-manual layout, finally getting to the floorplan,
1078 then revising and iteratively adjusting.
1079 * secondly, examining modules at the gate level (or close to it) is just
1080 good practice. poor design creeps in by *not* knowing what the tools
1081 are actually doing (word to experienced developers: yes, we know that
1082 the yosys graph != final netlist).
1083 * thirdly, unit testing, particularly formal proofs, is far easier on
1084 small sections of code, and complete in a reasonable time.
1085
1086 ## Special warning / alert to vim users!
1087
1088 Some time around the beginning of 2019 some bright spark decided that
1089 an "auto-recommend-completion-of-stuff" option would be a nice, shiny
1090 idea to enable by default from that point onwards.
1091
1092 This incredibly annoying "feature" results in tabs (or spaces) being
1093 inserted "on your behalf" when you press return on one line, for your
1094 "convenience" of not needing to type lots of spaces/tabs just to get
1095 to the same indentation level.
1096
1097 Of course, this "feature", if you press return on one line in edit
1098 mode and then press "escape", leaves a bundle-of-joy extraneous
1099 whitespace **exactly** where you don't want it, and didn't ask for it,
1100 pooped all over your file.
1101
1102 Therefore, *please*: **before** running "git commit", get into the
1103 habit of always running "git diff", and at the very minimum
1104 speed-skim the entire diff, looking for tell-tale "red squares"
1105 (these show up under bash diff colour-syntax-highlighting) that
1106 inform you that, without your knowledge or consent, vim has
1107 "helpfully" inserted extraneous whitespace.
1108
1109 Remove them **before** git committing because they are not part
1110 of the actual desired code-modifications, and committing them
1111 is a major and constant distraction for reviewers about actual
1112 important things like "the code that actually *usefully* was
1113 modified for that commit"
1114
1115 This has the useful side-effect of ensuring that, right before
1116 the commit, you've got the actual diff right in front of you
1117 in the xterm window, on which you can base the "commit message".
1118
1119 ## Unit tests
1120
1121 For further reading, see the wikipedia page on
1122 [Test-driven Development](https://en.wikipedia.org/wiki/Test-driven_development)
1123
1124 This deserves its own special section. It is extremely important to
1125 appreciate that without unit tests, python projects are simply unviable.
1126 Python itself has over 25,000 individual tests.
1127
1128 This can be quite overwhelming to a beginner developer, especially one
1129 used to writing scripts of only 100 lines in length.
1130
1131 Thanks to Samuel Falvo we learned that writing unit tests as a formal
1132 proof is not only shorter, it's also far more readable and also, if
1133 written properly, provides 100% coverage of corner-cases that would
1134 otherwise be overlooked or require tens to hundreds of thousands of
1135 tests to be run.
1136
1137 No this is not a joke or even remotely hypothetical, this is an actual
1138 real-world problem.
1139
1140 The ieee754fpu requires several hundreds of thousands of tests to be
1141 run (currently needing several days to run them all), and even then we
1142 cannot be absolutely certain that all possible combinations of input have
1143 been tested. With 2^128 permutations to try with 2 64 bit FP numbers
1144 it is simply impossible to even try.
1145
1146 This is where formal proofs come into play.
1147
1148 Samuel illustrated to us that "ordinary" unit tests can then be written
1149 to *augment* the formal ones, serving the purpose of illustrating how
1150 to use the module, more than anything.
1151
1152 However it is appreciated that writing formal proofs is a bit of a
1153 black art. This is where team collaboration particularly kicks in,
1154 so if you need help, ask on the mailing list.
1155
1156 ## Don't comment out unit tests: add them first (as failures) and fix code later
1157
1158 Unit tests serve an additional critical purpose of keeping track of code
1159 that needs to be written. In many cases, you write the unit test *first*,
1160 despite knowing full well that the code doesn't even exist or is completely
1161 broken. The unit test then serves as a constant and important reminder
1162 to actually fix (or write) the code.
1163
1164 Therefore, *do not* comment out unit tests just because they "don't work".
1165 If you absolutely must stop a unit test from running, **do not delete it**.
1166 Simply mark it with an appropriate
1167 ["skip" decorator](https://docs.python.org/3/library/unittest.html#skipping-tests-and-expected-failures),
1168 preferably with a link to a URL in the [bugtracker](https://bugs.libre-soc.org/)
1169 with further details as to why the unit test should not be run.
1170
1171 # Task management guidelines
1172
1173 1. Create the task in appropriate "Product" section with appropriate
1174 "Component" section. Most code tasks generally use "Libre-SOC's
1175 first SOC".
1176 2. Fill in "Depends on" and "Blocks" section whenever appropriate.
1177 Also add as many related ("See Also") links to other bugreports
1178 as possible. bugreports are never isolated.
1179 3. Choose the correct task for a budget allocation. Usually the parent
1180 task is used.
1181 4. Choose the correct NLnet milestone. The best practice is to check
1182 the parent task for a correct milestone.
1183 5. Assign the budget to the task in `"USER=SUM"` form, where "USER"
1184 corresponds to your username and "SUM" corresponds to the actual
1185 budget in EUR. There may be multiple users.
1186 6. When the task is completed, you can begin writing an RFP.
1187 **DO NOT submit it without explicit authorisation and review**.
1188 Leave out your bank and personal address details if you prefer
1189 when sending to the Team Manager for review.
1190 7. Once the RFP is written, notify the Team Manager and obtain their
1191 explicit approval to send it.
1192 8. Once approval is received and the RFP sent, update the `"USER=SUM"`
1193 field to include the submitted date:
1194 `"USER={amount=SUM, submitted=SDATE}"`. The SDATE is entered in
1195 `YYYY-MM-DD` form.
1196 9. Once the task is paid, again notify the Team Manager (IRC is fine),
1197 and update `"USER={amount=SUM, submitted=SDATE}"`
1198 to `"USER={amount=SUM, submitted=SDATE, paid=PDATE}"`. The PDATE is
1199 entered in `YYYY-MM-DD` form, too.
1200
1201 Throughout all of this you should be using budget-sync to check the
1202 database consistency
1203 <https://git.libre-soc.org/?p=utils.git;a=blob;f=README.txt;hb=HEAD>
1204
1205 [[!img bugzilla_RFP_fields.jpg size=640x ]]
1206
1207 # TODO Tutorials
1208
1209 Find appropriate tutorials for nmigen and yosys, as well as symbiyosys.
1210
1211 * Robert Baruch's nmigen tutorials look really good:
1212 <https://github.com/RobertBaruch/nmigen-tutorial>
1213 * Although a verilog example this is very useful to do
1214 <https://symbiyosys.readthedocs.io/en/latest/quickstart.html#first-step-a-simple-bmc-example>
1215 * This tutorial looks pretty good and will get you started
1216 <https://web.archive.org/web/20210123052724/http://blog.lambdaconcept.com/doku.php?id=nmigen:nmigen_install>
1217 and walks not just through simulation, it takes you through using
1218 gtkwave as well.
1219 * There exist several nmigen examples which are also executable
1220 <https://gitlab.com/nmigen/nmigen/tree/master/examples/> exactly as
1221 described in the above tutorial (python3 filename.py -h)
1222 * More nmigen tutorials at [[learning_nmigen]]