Merge branch 'divfloor-in-write_smt2' into smtlib2-expr-support
[yosys.git] / backends / smt2 / smt2.cc
1 /*
2 * yosys -- Yosys Open SYnthesis Suite
3 *
4 * Copyright (C) 2012 Claire Xenia Wolf <claire@yosyshq.com>
5 *
6 * Permission to use, copy, modify, and/or distribute this software for any
7 * purpose with or without fee is hereby granted, provided that the above
8 * copyright notice and this permission notice appear in all copies.
9 *
10 * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
11 * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
12 * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
13 * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES
14 * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN
15 * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF
16 * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.
17 *
18 */
19
20 #include "kernel/rtlil.h"
21 #include "kernel/register.h"
22 #include "kernel/sigtools.h"
23 #include "kernel/celltypes.h"
24 #include "kernel/log.h"
25 #include "kernel/mem.h"
26 #include <string>
27
28 USING_YOSYS_NAMESPACE
29 PRIVATE_NAMESPACE_BEGIN
30
31 struct Smt2Worker
32 {
33 CellTypes ct;
34 SigMap sigmap;
35 RTLIL::Module *module;
36 bool bvmode, memmode, wiresmode, verbose, statebv, statedt, forallmode;
37 dict<IdString, int> &mod_stbv_width;
38 int idcounter = 0, statebv_width = 0;
39
40 std::vector<std::string> decls, trans, hier, dtmembers;
41 std::map<RTLIL::SigBit, RTLIL::Cell*> bit_driver;
42 std::set<RTLIL::Cell*> exported_cells, hiercells, hiercells_queue;
43 pool<Cell*> recursive_cells, registers;
44 std::vector<Mem> memories;
45 dict<Cell*, Mem*> mem_cells;
46 std::set<Mem*> memory_queue;
47
48 pool<SigBit> clock_posedge, clock_negedge;
49 vector<string> ex_state_eq, ex_input_eq;
50
51 std::map<RTLIL::SigBit, std::pair<int, int>> fcache;
52 std::map<Mem*, int> memarrays;
53 std::map<int, int> bvsizes;
54 dict<IdString, char*> ids;
55
56 const char *get_id(IdString n)
57 {
58 if (ids.count(n) == 0) {
59 std::string str = log_id(n);
60 for (int i = 0; i < GetSize(str); i++) {
61 if (str[i] == '\\')
62 str[i] = '/';
63 }
64 ids[n] = strdup(str.c_str());
65 }
66 return ids[n];
67 }
68
69 template<typename T>
70 const char *get_id(T *obj) {
71 return get_id(obj->name);
72 }
73
74 void makebits(std::string name, int width = 0, std::string comment = std::string())
75 {
76 std::string decl_str;
77
78 if (statebv)
79 {
80 if (width == 0) {
81 decl_str = stringf("(define-fun |%s| ((state |%s_s|)) Bool (= ((_ extract %d %d) state) #b1))", name.c_str(), get_id(module), statebv_width, statebv_width);
82 statebv_width += 1;
83 } else {
84 decl_str = stringf("(define-fun |%s| ((state |%s_s|)) (_ BitVec %d) ((_ extract %d %d) state))", name.c_str(), get_id(module), width, statebv_width+width-1, statebv_width);
85 statebv_width += width;
86 }
87 }
88 else if (statedt)
89 {
90 if (width == 0) {
91 decl_str = stringf(" (|%s| Bool)", name.c_str());
92 } else {
93 decl_str = stringf(" (|%s| (_ BitVec %d))", name.c_str(), width);
94 }
95 }
96 else
97 {
98 if (width == 0) {
99 decl_str = stringf("(declare-fun |%s| (|%s_s|) Bool)", name.c_str(), get_id(module));
100 } else {
101 decl_str = stringf("(declare-fun |%s| (|%s_s|) (_ BitVec %d))", name.c_str(), get_id(module), width);
102 }
103 }
104
105 if (!comment.empty())
106 decl_str += " ; " + comment;
107
108 if (statedt)
109 dtmembers.push_back(decl_str + "\n");
110 else
111 decls.push_back(decl_str + "\n");
112 }
113
114 Smt2Worker(RTLIL::Module *module, bool bvmode, bool memmode, bool wiresmode, bool verbose, bool statebv, bool statedt, bool forallmode,
115 dict<IdString, int> &mod_stbv_width, dict<IdString, dict<IdString, pair<bool, bool>>> &mod_clk_cache) :
116 ct(module->design), sigmap(module), module(module), bvmode(bvmode), memmode(memmode), wiresmode(wiresmode),
117 verbose(verbose), statebv(statebv), statedt(statedt), forallmode(forallmode), mod_stbv_width(mod_stbv_width)
118 {
119 pool<SigBit> noclock;
120
121 makebits(stringf("%s_is", get_id(module)));
122
123 dict<IdString, Mem*> mem_dict;
124 memories = Mem::get_all_memories(module);
125 for (auto &mem : memories)
126 {
127 mem.narrow();
128 mem_dict[mem.memid] = &mem;
129 for (auto &port : mem.wr_ports)
130 {
131 if (port.clk_enable) {
132 SigSpec clk = sigmap(port.clk);
133 for (int i = 0; i < GetSize(clk); i++)
134 {
135 if (clk[i].wire == nullptr)
136 continue;
137 if (port.clk_polarity)
138 clock_posedge.insert(clk[i]);
139 else
140 clock_negedge.insert(clk[i]);
141 }
142 }
143 for (auto bit : sigmap(port.en))
144 noclock.insert(bit);
145 for (auto bit : sigmap(port.addr))
146 noclock.insert(bit);
147 for (auto bit : sigmap(port.data))
148 noclock.insert(bit);
149 }
150 for (auto &port : mem.rd_ports)
151 {
152 if (port.clk_enable) {
153 SigSpec clk = sigmap(port.clk);
154 for (int i = 0; i < GetSize(clk); i++)
155 {
156 if (clk[i].wire == nullptr)
157 continue;
158 if (port.clk_polarity)
159 clock_posedge.insert(clk[i]);
160 else
161 clock_negedge.insert(clk[i]);
162 }
163 }
164 for (auto bit : sigmap(port.en))
165 noclock.insert(bit);
166 for (auto bit : sigmap(port.addr))
167 noclock.insert(bit);
168 for (auto bit : sigmap(port.data))
169 noclock.insert(bit);
170 Cell *driver = port.cell ? port.cell : mem.cell;
171 for (auto bit : sigmap(port.data)) {
172 if (bit_driver.count(bit))
173 log_error("Found multiple drivers for %s.\n", log_signal(bit));
174 bit_driver[bit] = driver;
175 }
176 }
177 }
178
179 for (auto cell : module->cells())
180 for (auto &conn : cell->connections())
181 {
182 if (GetSize(conn.second) == 0)
183 continue;
184
185 // Handled above.
186 if (cell->is_mem_cell()) {
187 mem_cells[cell] = mem_dict[cell->parameters.at(ID::MEMID).decode_string()];
188 continue;
189 }
190
191 bool is_input = ct.cell_input(cell->type, conn.first);
192 bool is_output = ct.cell_output(cell->type, conn.first);
193
194 if (is_output && !is_input)
195 for (auto bit : sigmap(conn.second)) {
196 if (bit_driver.count(bit))
197 log_error("Found multiple drivers for %s.\n", log_signal(bit));
198 bit_driver[bit] = cell;
199 }
200 else if (is_output || !is_input)
201 log_error("Unsupported or unknown directionality on port %s of cell %s.%s (%s).\n",
202 log_id(conn.first), log_id(module), log_id(cell), log_id(cell->type));
203
204 if (cell->type.in(ID($dff), ID($_DFF_P_), ID($_DFF_N_)) && conn.first.in(ID::CLK, ID::C))
205 {
206 bool posedge = (cell->type == ID($_DFF_N_)) || (cell->type == ID($dff) && cell->getParam(ID::CLK_POLARITY).as_bool());
207 for (auto bit : sigmap(conn.second)) {
208 if (posedge)
209 clock_posedge.insert(bit);
210 else
211 clock_negedge.insert(bit);
212 }
213 }
214 else
215 if (mod_clk_cache.count(cell->type) && mod_clk_cache.at(cell->type).count(conn.first))
216 {
217 for (auto bit : sigmap(conn.second)) {
218 if (mod_clk_cache.at(cell->type).at(conn.first).first)
219 clock_posedge.insert(bit);
220 if (mod_clk_cache.at(cell->type).at(conn.first).second)
221 clock_negedge.insert(bit);
222 }
223 }
224 else
225 {
226 for (auto bit : sigmap(conn.second))
227 noclock.insert(bit);
228 }
229 }
230
231 for (auto bit : noclock) {
232 clock_posedge.erase(bit);
233 clock_negedge.erase(bit);
234 }
235
236 for (auto wire : module->wires())
237 {
238 if (!wire->port_input || GetSize(wire) != 1)
239 continue;
240 SigBit bit = sigmap(wire);
241 if (clock_posedge.count(bit))
242 mod_clk_cache[module->name][wire->name].first = true;
243 if (clock_negedge.count(bit))
244 mod_clk_cache[module->name][wire->name].second = true;
245 }
246 }
247
248 ~Smt2Worker()
249 {
250 for (auto &it : ids)
251 free(it.second);
252 ids.clear();
253 }
254
255 const char *get_id(Module *m)
256 {
257 return get_id(m->name);
258 }
259
260 const char *get_id(Cell *c)
261 {
262 return get_id(c->name);
263 }
264
265 const char *get_id(Wire *w)
266 {
267 return get_id(w->name);
268 }
269
270 void register_bool(RTLIL::SigBit bit, int id)
271 {
272 if (verbose) log("%*s-> register_bool: %s %d\n", 2+2*GetSize(recursive_cells), "",
273 log_signal(bit), id);
274
275 sigmap.apply(bit);
276 log_assert(fcache.count(bit) == 0);
277 fcache[bit] = std::pair<int, int>(id, -1);
278 }
279
280 void register_bv(RTLIL::SigSpec sig, int id)
281 {
282 if (verbose) log("%*s-> register_bv: %s %d\n", 2+2*GetSize(recursive_cells), "",
283 log_signal(sig), id);
284
285 log_assert(bvmode);
286 sigmap.apply(sig);
287
288 log_assert(bvsizes.count(id) == 0);
289 bvsizes[id] = GetSize(sig);
290
291 for (int i = 0; i < GetSize(sig); i++) {
292 log_assert(fcache.count(sig[i]) == 0);
293 fcache[sig[i]] = std::pair<int, int>(id, i);
294 }
295 }
296
297 void register_boolvec(RTLIL::SigSpec sig, int id)
298 {
299 if (verbose) log("%*s-> register_boolvec: %s %d\n", 2+2*GetSize(recursive_cells), "",
300 log_signal(sig), id);
301
302 log_assert(bvmode);
303 sigmap.apply(sig);
304 register_bool(sig[0], id);
305
306 for (int i = 1; i < GetSize(sig); i++)
307 sigmap.add(sig[i], RTLIL::State::S0);
308 }
309
310 std::string get_bool(RTLIL::SigBit bit, const char *state_name = "state")
311 {
312 sigmap.apply(bit);
313
314 if (bit.wire == nullptr)
315 return bit == RTLIL::State::S1 ? "true" : "false";
316
317 if (bit_driver.count(bit))
318 export_cell(bit_driver.at(bit));
319 sigmap.apply(bit);
320
321 if (fcache.count(bit) == 0) {
322 if (verbose) log("%*s-> external bool: %s\n", 2+2*GetSize(recursive_cells), "",
323 log_signal(bit));
324 makebits(stringf("%s#%d", get_id(module), idcounter), 0, log_signal(bit));
325 register_bool(bit, idcounter++);
326 }
327
328 auto f = fcache.at(bit);
329 if (f.second >= 0)
330 return stringf("(= ((_ extract %d %d) (|%s#%d| %s)) #b1)", f.second, f.second, get_id(module), f.first, state_name);
331 return stringf("(|%s#%d| %s)", get_id(module), f.first, state_name);
332 }
333
334 std::string get_bool(RTLIL::SigSpec sig, const char *state_name = "state")
335 {
336 return get_bool(sig.as_bit(), state_name);
337 }
338
339 std::string get_bv(RTLIL::SigSpec sig, const char *state_name = "state")
340 {
341 log_assert(bvmode);
342 sigmap.apply(sig);
343
344 std::vector<std::string> subexpr;
345
346 SigSpec orig_sig;
347 while (orig_sig != sig) {
348 for (auto bit : sig)
349 if (bit_driver.count(bit))
350 export_cell(bit_driver.at(bit));
351 orig_sig = sig;
352 sigmap.apply(sig);
353 }
354
355 for (int i = 0, j = 1; i < GetSize(sig); i += j, j = 1)
356 {
357 if (sig[i].wire == nullptr) {
358 while (i+j < GetSize(sig) && sig[i+j].wire == nullptr) j++;
359 subexpr.push_back("#b");
360 for (int k = i+j-1; k >= i; k--)
361 subexpr.back() += sig[k] == RTLIL::State::S1 ? "1" : "0";
362 continue;
363 }
364
365 if (fcache.count(sig[i]) && fcache.at(sig[i]).second == -1) {
366 subexpr.push_back(stringf("(ite %s #b1 #b0)", get_bool(sig[i], state_name).c_str()));
367 continue;
368 }
369
370 if (fcache.count(sig[i])) {
371 auto t1 = fcache.at(sig[i]);
372 while (i+j < GetSize(sig)) {
373 if (fcache.count(sig[i+j]) == 0)
374 break;
375 auto t2 = fcache.at(sig[i+j]);
376 if (t1.first != t2.first)
377 break;
378 if (t1.second+j != t2.second)
379 break;
380 j++;
381 }
382 if (t1.second == 0 && j == bvsizes.at(t1.first))
383 subexpr.push_back(stringf("(|%s#%d| %s)", get_id(module), t1.first, state_name));
384 else
385 subexpr.push_back(stringf("((_ extract %d %d) (|%s#%d| %s))",
386 t1.second + j - 1, t1.second, get_id(module), t1.first, state_name));
387 continue;
388 }
389
390 std::set<RTLIL::SigBit> seen_bits = { sig[i] };
391 while (i+j < GetSize(sig) && sig[i+j].wire && !fcache.count(sig[i+j]) && !seen_bits.count(sig[i+j]))
392 seen_bits.insert(sig[i+j]), j++;
393
394 if (verbose) log("%*s-> external bv: %s\n", 2+2*GetSize(recursive_cells), "",
395 log_signal(sig.extract(i, j)));
396 for (auto bit : sig.extract(i, j))
397 log_assert(bit_driver.count(bit) == 0);
398 makebits(stringf("%s#%d", get_id(module), idcounter), j, log_signal(sig.extract(i, j)));
399 subexpr.push_back(stringf("(|%s#%d| %s)", get_id(module), idcounter, state_name));
400 register_bv(sig.extract(i, j), idcounter++);
401 }
402
403 if (GetSize(subexpr) > 1) {
404 std::string expr = "", end_str = "";
405 for (int i = GetSize(subexpr)-1; i >= 0; i--) {
406 if (i > 0) expr += " (concat", end_str += ")";
407 expr += " " + subexpr[i];
408 }
409 return expr.substr(1) + end_str;
410 } else {
411 log_assert(GetSize(subexpr) == 1);
412 return subexpr[0];
413 }
414 }
415
416 void export_gate(RTLIL::Cell *cell, std::string expr)
417 {
418 RTLIL::SigBit bit = sigmap(cell->getPort(ID::Y).as_bit());
419 std::string processed_expr;
420
421 for (char ch : expr) {
422 if (ch == 'A') processed_expr += get_bool(cell->getPort(ID::A));
423 else if (ch == 'B') processed_expr += get_bool(cell->getPort(ID::B));
424 else if (ch == 'C') processed_expr += get_bool(cell->getPort(ID::C));
425 else if (ch == 'D') processed_expr += get_bool(cell->getPort(ID::D));
426 else if (ch == 'S') processed_expr += get_bool(cell->getPort(ID::S));
427 else processed_expr += ch;
428 }
429
430 if (verbose)
431 log("%*s-> import cell: %s\n", 2+2*GetSize(recursive_cells), "", log_id(cell));
432
433 decls.push_back(stringf("(define-fun |%s#%d| ((state |%s_s|)) Bool %s) ; %s\n",
434 get_id(module), idcounter, get_id(module), processed_expr.c_str(), log_signal(bit)));
435 register_bool(bit, idcounter++);
436 recursive_cells.erase(cell);
437 }
438
439 void export_smtlib2_expr(RTLIL::Cell *cell)
440 {
441 RTLIL::SigSpec sig_a = cell->getPort(ID::A);
442 RTLIL::SigSpec sig_y = sigmap(cell->getPort(ID::Y));
443 string expr = cell->getParam(ID::EXPR).decode_string();
444
445 string a_bv = get_bv(sig_a);
446
447 if (verbose)
448 log("%*s-> import cell: %s\n", 2 + 2 * GetSize(recursive_cells), "", log_id(cell));
449
450 int expr_idcounter = idcounter++;
451
452 decls.push_back(stringf("(define-fun |%s#%d| ((A (_ BitVec %d))) (_ BitVec %d) ; %s\n %s\n)\n", get_id(module), expr_idcounter,
453 GetSize(sig_a), GetSize(sig_y), log_signal(sig_y), expr.c_str()));
454 decls.push_back(stringf("(define-fun |%s#%d| ((state |%s_s|)) (_ BitVec %d) (|%s#%d| %s)) ; %s\n", get_id(module), idcounter,
455 get_id(module), GetSize(sig_y), get_id(module), expr_idcounter, a_bv.c_str(), log_signal(sig_y)));
456 register_bv(sig_y, idcounter++);
457
458 recursive_cells.erase(cell);
459 }
460
461 void export_bvop(RTLIL::Cell *cell, std::string expr, char type = 0)
462 {
463 RTLIL::SigSpec sig_a, sig_b;
464 RTLIL::SigSpec sig_y = sigmap(cell->getPort(ID::Y));
465 bool is_signed = cell->getParam(ID::A_SIGNED).as_bool();
466 int width = GetSize(sig_y);
467
468 if (type == 's' || type == 'd' || type == 'b') {
469 width = max(width, GetSize(cell->getPort(ID::A)));
470 if (cell->hasPort(ID::B))
471 width = max(width, GetSize(cell->getPort(ID::B)));
472 }
473
474 if (cell->hasPort(ID::A)) {
475 sig_a = cell->getPort(ID::A);
476 sig_a.extend_u0(width, is_signed);
477 }
478
479 if (cell->hasPort(ID::B)) {
480 sig_b = cell->getPort(ID::B);
481 sig_b.extend_u0(width, is_signed && !(type == 's'));
482 }
483
484 std::string processed_expr;
485
486 for (char ch : expr) {
487 if (ch == 'A') processed_expr += get_bv(sig_a);
488 else if (ch == 'B') processed_expr += get_bv(sig_b);
489 else if (ch == 'P') processed_expr += get_bv(cell->getPort(ID::B));
490 else if (ch == 'L') processed_expr += is_signed ? "a" : "l";
491 else if (ch == 'U') processed_expr += is_signed ? "s" : "u";
492 else processed_expr += ch;
493 }
494
495 if (width != GetSize(sig_y) && type != 'b')
496 processed_expr = stringf("((_ extract %d 0) %s)", GetSize(sig_y)-1, processed_expr.c_str());
497
498 if (verbose)
499 log("%*s-> import cell: %s\n", 2+2*GetSize(recursive_cells), "", log_id(cell));
500
501 if (type == 'b') {
502 decls.push_back(stringf("(define-fun |%s#%d| ((state |%s_s|)) Bool %s) ; %s\n",
503 get_id(module), idcounter, get_id(module), processed_expr.c_str(), log_signal(sig_y)));
504 register_boolvec(sig_y, idcounter++);
505 } else {
506 decls.push_back(stringf("(define-fun |%s#%d| ((state |%s_s|)) (_ BitVec %d) %s) ; %s\n",
507 get_id(module), idcounter, get_id(module), GetSize(sig_y), processed_expr.c_str(), log_signal(sig_y)));
508 register_bv(sig_y, idcounter++);
509 }
510
511 recursive_cells.erase(cell);
512 }
513
514 void export_reduce(RTLIL::Cell *cell, std::string expr, bool identity_val)
515 {
516 RTLIL::SigSpec sig_y = sigmap(cell->getPort(ID::Y));
517 std::string processed_expr;
518
519 for (char ch : expr)
520 if (ch == 'A' || ch == 'B') {
521 RTLIL::SigSpec sig = sigmap(cell->getPort(stringf("\\%c", ch)));
522 for (auto bit : sig)
523 processed_expr += " " + get_bool(bit);
524 if (GetSize(sig) == 1)
525 processed_expr += identity_val ? " true" : " false";
526 } else
527 processed_expr += ch;
528
529 if (verbose)
530 log("%*s-> import cell: %s\n", 2+2*GetSize(recursive_cells), "", log_id(cell));
531
532 decls.push_back(stringf("(define-fun |%s#%d| ((state |%s_s|)) Bool %s) ; %s\n",
533 get_id(module), idcounter, get_id(module), processed_expr.c_str(), log_signal(sig_y)));
534 register_boolvec(sig_y, idcounter++);
535 recursive_cells.erase(cell);
536 }
537
538 void export_cell(RTLIL::Cell *cell)
539 {
540 if (verbose)
541 log("%*s=> export_cell %s (%s) [%s]\n", 2+2*GetSize(recursive_cells), "",
542 log_id(cell), log_id(cell->type), exported_cells.count(cell) ? "old" : "new");
543
544 if (recursive_cells.count(cell))
545 log_error("Found logic loop in module %s! See cell %s.\n", get_id(module), get_id(cell));
546
547 if (exported_cells.count(cell))
548 return;
549
550 exported_cells.insert(cell);
551 recursive_cells.insert(cell);
552
553 if (cell->type == ID($initstate))
554 {
555 SigBit bit = sigmap(cell->getPort(ID::Y).as_bit());
556 decls.push_back(stringf("(define-fun |%s#%d| ((state |%s_s|)) Bool (|%s_is| state)) ; %s\n",
557 get_id(module), idcounter, get_id(module), get_id(module), log_signal(bit)));
558 register_bool(bit, idcounter++);
559 recursive_cells.erase(cell);
560 return;
561 }
562
563 if (cell->type.in(ID($_FF_), ID($_DFF_P_), ID($_DFF_N_)))
564 {
565 registers.insert(cell);
566 makebits(stringf("%s#%d", get_id(module), idcounter), 0, log_signal(cell->getPort(ID::Q)));
567 register_bool(cell->getPort(ID::Q), idcounter++);
568 recursive_cells.erase(cell);
569 return;
570 }
571
572 if (cell->type == ID($_BUF_)) return export_gate(cell, "A");
573 if (cell->type == ID($_NOT_)) return export_gate(cell, "(not A)");
574 if (cell->type == ID($_AND_)) return export_gate(cell, "(and A B)");
575 if (cell->type == ID($_NAND_)) return export_gate(cell, "(not (and A B))");
576 if (cell->type == ID($_OR_)) return export_gate(cell, "(or A B)");
577 if (cell->type == ID($_NOR_)) return export_gate(cell, "(not (or A B))");
578 if (cell->type == ID($_XOR_)) return export_gate(cell, "(xor A B)");
579 if (cell->type == ID($_XNOR_)) return export_gate(cell, "(not (xor A B))");
580 if (cell->type == ID($_ANDNOT_)) return export_gate(cell, "(and A (not B))");
581 if (cell->type == ID($_ORNOT_)) return export_gate(cell, "(or A (not B))");
582 if (cell->type == ID($_MUX_)) return export_gate(cell, "(ite S B A)");
583 if (cell->type == ID($_NMUX_)) return export_gate(cell, "(not (ite S B A))");
584 if (cell->type == ID($_AOI3_)) return export_gate(cell, "(not (or (and A B) C))");
585 if (cell->type == ID($_OAI3_)) return export_gate(cell, "(not (and (or A B) C))");
586 if (cell->type == ID($_AOI4_)) return export_gate(cell, "(not (or (and A B) (and C D)))");
587 if (cell->type == ID($_OAI4_)) return export_gate(cell, "(not (and (or A B) (or C D)))");
588
589 // FIXME: $lut
590
591 if (bvmode)
592 {
593 if (cell->type.in(ID($ff), ID($dff)))
594 {
595 registers.insert(cell);
596 makebits(stringf("%s#%d", get_id(module), idcounter), GetSize(cell->getPort(ID::Q)), log_signal(cell->getPort(ID::Q)));
597 register_bv(cell->getPort(ID::Q), idcounter++);
598 recursive_cells.erase(cell);
599 return;
600 }
601
602 if (cell->type.in(ID($anyconst), ID($anyseq), ID($allconst), ID($allseq)))
603 {
604 registers.insert(cell);
605 string infostr = cell->attributes.count(ID::src) ? cell->attributes.at(ID::src).decode_string().c_str() : get_id(cell);
606 if (cell->attributes.count(ID::reg))
607 infostr += " " + cell->attributes.at(ID::reg).decode_string();
608 decls.push_back(stringf("; yosys-smt2-%s %s#%d %d %s\n", cell->type.c_str() + 1, get_id(module), idcounter, GetSize(cell->getPort(ID::Y)), infostr.c_str()));
609 if (cell->getPort(ID::Y).is_wire() && cell->getPort(ID::Y).as_wire()->get_bool_attribute(ID::maximize)){
610 decls.push_back(stringf("; yosys-smt2-maximize %s#%d\n", get_id(module), idcounter));
611 log("Wire %s is maximized\n", cell->getPort(ID::Y).as_wire()->name.str().c_str());
612 }
613 else if (cell->getPort(ID::Y).is_wire() && cell->getPort(ID::Y).as_wire()->get_bool_attribute(ID::minimize)){
614 decls.push_back(stringf("; yosys-smt2-minimize %s#%d\n", get_id(module), idcounter));
615 log("Wire %s is minimized\n", cell->getPort(ID::Y).as_wire()->name.str().c_str());
616 }
617 makebits(stringf("%s#%d", get_id(module), idcounter), GetSize(cell->getPort(ID::Y)), log_signal(cell->getPort(ID::Y)));
618 if (cell->type == ID($anyseq))
619 ex_input_eq.push_back(stringf(" (= (|%s#%d| state) (|%s#%d| other_state))", get_id(module), idcounter, get_id(module), idcounter));
620 register_bv(cell->getPort(ID::Y), idcounter++);
621 recursive_cells.erase(cell);
622 return;
623 }
624
625 if (cell->type == ID($and)) return export_bvop(cell, "(bvand A B)");
626 if (cell->type == ID($or)) return export_bvop(cell, "(bvor A B)");
627 if (cell->type == ID($xor)) return export_bvop(cell, "(bvxor A B)");
628 if (cell->type == ID($xnor)) return export_bvop(cell, "(bvxnor A B)");
629
630 if (cell->type == ID($shl)) return export_bvop(cell, "(bvshl A B)", 's');
631 if (cell->type == ID($shr)) return export_bvop(cell, "(bvlshr A B)", 's');
632 if (cell->type == ID($sshl)) return export_bvop(cell, "(bvshl A B)", 's');
633 if (cell->type == ID($sshr)) return export_bvop(cell, "(bvLshr A B)", 's');
634
635 if (cell->type.in(ID($shift), ID($shiftx))) {
636 if (cell->getParam(ID::B_SIGNED).as_bool()) {
637 return export_bvop(cell, stringf("(ite (bvsge P #b%0*d) "
638 "(bvlshr A B) (bvlshr A (bvneg B)))",
639 GetSize(cell->getPort(ID::B)), 0), 's');
640 } else {
641 return export_bvop(cell, "(bvlshr A B)", 's');
642 }
643 }
644
645 if (cell->type == ID($lt)) return export_bvop(cell, "(bvUlt A B)", 'b');
646 if (cell->type == ID($le)) return export_bvop(cell, "(bvUle A B)", 'b');
647 if (cell->type == ID($ge)) return export_bvop(cell, "(bvUge A B)", 'b');
648 if (cell->type == ID($gt)) return export_bvop(cell, "(bvUgt A B)", 'b');
649
650 if (cell->type == ID($ne)) return export_bvop(cell, "(distinct A B)", 'b');
651 if (cell->type == ID($nex)) return export_bvop(cell, "(distinct A B)", 'b');
652 if (cell->type == ID($eq)) return export_bvop(cell, "(= A B)", 'b');
653 if (cell->type == ID($eqx)) return export_bvop(cell, "(= A B)", 'b');
654
655 if (cell->type == ID($not)) return export_bvop(cell, "(bvnot A)");
656 if (cell->type == ID($pos)) return export_bvop(cell, "A");
657 if (cell->type == ID($neg)) return export_bvop(cell, "(bvneg A)");
658
659 if (cell->type == ID($add)) return export_bvop(cell, "(bvadd A B)");
660 if (cell->type == ID($sub)) return export_bvop(cell, "(bvsub A B)");
661 if (cell->type == ID($mul)) return export_bvop(cell, "(bvmul A B)");
662 if (cell->type == ID($div)) return export_bvop(cell, "(bvUdiv A B)", 'd');
663 // "rem" = truncating modulo
664 if (cell->type == ID($mod)) return export_bvop(cell, "(bvUrem A B)", 'd');
665 // "mod" = flooring modulo
666 if (cell->type == ID($modfloor)) {
667 // bvumod doesn't exist because it's the same as bvurem
668 if (cell->getParam(ID::A_SIGNED).as_bool()) {
669 return export_bvop(cell, "(bvsmod A B)", 'd');
670 } else {
671 return export_bvop(cell, "(bvurem A B)", 'd');
672 }
673 }
674 // "div" = flooring division
675 if (cell->type == ID($divfloor)) {
676 if (cell->getParam(ID::A_SIGNED).as_bool()) {
677 // bvsdiv is truncating division, so we can't use it here.
678 int width = max(GetSize(cell->getPort(ID::A)), GetSize(cell->getPort(ID::B)));
679 width = max(width, GetSize(cell->getPort(ID::Y)));
680 auto expr = stringf("(let ("
681 "(a_neg (bvslt A #b%0*d)) "
682 "(b_neg (bvslt B #b%0*d))) "
683 "(let ((abs_a (ite a_neg (bvneg A) A)) "
684 "(abs_b (ite b_neg (bvneg B) B))) "
685 "(let ((u (bvudiv abs_a abs_b)) "
686 "(adj (ite (= #b%0*d (bvurem abs_a abs_b)) #b%0*d #b%0*d))) "
687 "(ite (= a_neg b_neg) u "
688 "(bvneg (bvadd u adj))))))",
689 width, 0, width, 0, width, 0, width, 0, width, 1);
690 return export_bvop(cell, expr, 'd');
691 } else {
692 return export_bvop(cell, "(bvudiv A B)", 'd');
693 }
694 }
695
696 if (cell->type.in(ID($reduce_and), ID($reduce_or), ID($reduce_bool)) &&
697 2*GetSize(cell->getPort(ID::A).chunks()) < GetSize(cell->getPort(ID::A))) {
698 bool is_and = cell->type == ID($reduce_and);
699 string bits(GetSize(cell->getPort(ID::A)), is_and ? '1' : '0');
700 return export_bvop(cell, stringf("(%s A #b%s)", is_and ? "=" : "distinct", bits.c_str()), 'b');
701 }
702
703 if (cell->type == ID($reduce_and)) return export_reduce(cell, "(and A)", true);
704 if (cell->type == ID($reduce_or)) return export_reduce(cell, "(or A)", false);
705 if (cell->type == ID($reduce_xor)) return export_reduce(cell, "(xor A)", false);
706 if (cell->type == ID($reduce_xnor)) return export_reduce(cell, "(not (xor A))", false);
707 if (cell->type == ID($reduce_bool)) return export_reduce(cell, "(or A)", false);
708
709 if (cell->type == ID($logic_not)) return export_reduce(cell, "(not (or A))", false);
710 if (cell->type == ID($logic_and)) return export_reduce(cell, "(and (or A) (or B))", false);
711 if (cell->type == ID($logic_or)) return export_reduce(cell, "(or A B)", false);
712
713 if (cell->type.in(ID($mux), ID($pmux)))
714 {
715 int width = GetSize(cell->getPort(ID::Y));
716 std::string processed_expr = get_bv(cell->getPort(ID::A));
717
718 RTLIL::SigSpec sig_b = cell->getPort(ID::B);
719 RTLIL::SigSpec sig_s = cell->getPort(ID::S);
720 get_bv(sig_b);
721 get_bv(sig_s);
722
723 for (int i = 0; i < GetSize(sig_s); i++)
724 processed_expr = stringf("(ite %s %s %s)", get_bool(sig_s[i]).c_str(),
725 get_bv(sig_b.extract(i*width, width)).c_str(), processed_expr.c_str());
726
727 if (verbose)
728 log("%*s-> import cell: %s\n", 2+2*GetSize(recursive_cells), "", log_id(cell));
729
730 RTLIL::SigSpec sig = sigmap(cell->getPort(ID::Y));
731 decls.push_back(stringf("(define-fun |%s#%d| ((state |%s_s|)) (_ BitVec %d) %s) ; %s\n",
732 get_id(module), idcounter, get_id(module), width, processed_expr.c_str(), log_signal(sig)));
733 register_bv(sig, idcounter++);
734 recursive_cells.erase(cell);
735 return;
736 }
737
738 if (cell->type == ID($smtlib2_expr)) {
739 return export_smtlib2_expr(cell);
740 }
741
742 // FIXME: $slice $concat
743 }
744
745 if (memmode && cell->is_mem_cell())
746 {
747 Mem *mem = mem_cells[cell];
748
749 if (memarrays.count(mem)) {
750 recursive_cells.erase(cell);
751 return;
752 }
753
754 int arrayid = idcounter++;
755 memarrays[mem] = arrayid;
756
757 int abits = ceil_log2(mem->size);
758
759 bool has_sync_wr = false;
760 bool has_async_wr = false;
761 for (auto &port : mem->wr_ports) {
762 if (port.clk_enable)
763 has_sync_wr = true;
764 else
765 has_async_wr = true;
766 }
767 if (has_async_wr && has_sync_wr)
768 log_error("Memory %s.%s has mixed clocked/nonclocked write ports. This is not supported by \"write_smt2\".\n", log_id(cell), log_id(module));
769
770 decls.push_back(stringf("; yosys-smt2-memory %s %d %d %d %d %s\n", get_id(mem->memid), abits, mem->width, GetSize(mem->rd_ports), GetSize(mem->wr_ports), has_async_wr ? "async" : "sync"));
771
772 string memstate;
773 if (has_async_wr) {
774 memstate = stringf("%s#%d#final", get_id(module), arrayid);
775 } else {
776 memstate = stringf("%s#%d#0", get_id(module), arrayid);
777 }
778
779 if (statebv)
780 {
781 makebits(memstate, mem->width*mem->size, get_id(mem->memid));
782 decls.push_back(stringf("(define-fun |%s_m %s| ((state |%s_s|)) (_ BitVec %d) (|%s| state))\n",
783 get_id(module), get_id(mem->memid), get_id(module), mem->width*mem->size, memstate.c_str()));
784
785 for (int i = 0; i < GetSize(mem->rd_ports); i++)
786 {
787 auto &port = mem->rd_ports[i];
788 SigSpec addr_sig = port.addr;
789 addr_sig.extend_u0(abits);
790 std::string addr = get_bv(addr_sig);
791
792 if (port.clk_enable)
793 log_error("Read port %d (%s) of memory %s.%s is clocked. This is not supported by \"write_smt2\"! "
794 "Call \"memory\" with -nordff to avoid this error.\n", i, log_signal(port.data), log_id(mem->memid), log_id(module));
795
796 decls.push_back(stringf("(define-fun |%s_m:R%dA %s| ((state |%s_s|)) (_ BitVec %d) %s) ; %s\n",
797 get_id(module), i, get_id(mem->memid), get_id(module), abits, addr.c_str(), log_signal(addr_sig)));
798
799 std::string read_expr = "#b";
800 for (int k = 0; k < mem->width; k++)
801 read_expr += "0";
802
803 for (int k = 0; k < mem->size; k++)
804 read_expr = stringf("(ite (= (|%s_m:R%dA %s| state) #b%s) ((_ extract %d %d) (|%s| state))\n %s)",
805 get_id(module), i, get_id(mem->memid), Const(k+mem->start_offset, abits).as_string().c_str(),
806 mem->width*(k+1)-1, mem->width*k, memstate.c_str(), read_expr.c_str());
807
808 decls.push_back(stringf("(define-fun |%s#%d| ((state |%s_s|)) (_ BitVec %d)\n %s) ; %s\n",
809 get_id(module), idcounter, get_id(module), mem->width, read_expr.c_str(), log_signal(port.data)));
810
811 decls.push_back(stringf("(define-fun |%s_m:R%dD %s| ((state |%s_s|)) (_ BitVec %d) (|%s#%d| state))\n",
812 get_id(module), i, get_id(mem->memid), get_id(module), mem->width, get_id(module), idcounter));
813
814 register_bv(port.data, idcounter++);
815 }
816 }
817 else
818 {
819 if (statedt)
820 dtmembers.push_back(stringf(" (|%s| (Array (_ BitVec %d) (_ BitVec %d))) ; %s\n",
821 memstate.c_str(), abits, mem->width, get_id(mem->memid)));
822 else
823 decls.push_back(stringf("(declare-fun |%s| (|%s_s|) (Array (_ BitVec %d) (_ BitVec %d))) ; %s\n",
824 memstate.c_str(), get_id(module), abits, mem->width, get_id(mem->memid)));
825
826 decls.push_back(stringf("(define-fun |%s_m %s| ((state |%s_s|)) (Array (_ BitVec %d) (_ BitVec %d)) (|%s| state))\n",
827 get_id(module), get_id(mem->memid), get_id(module), abits, mem->width, memstate.c_str()));
828
829 for (int i = 0; i < GetSize(mem->rd_ports); i++)
830 {
831 auto &port = mem->rd_ports[i];
832 SigSpec addr_sig = port.addr;
833 addr_sig.extend_u0(abits);
834 std::string addr = get_bv(addr_sig);
835
836 if (port.clk_enable)
837 log_error("Read port %d (%s) of memory %s.%s is clocked. This is not supported by \"write_smt2\"! "
838 "Call \"memory\" with -nordff to avoid this error.\n", i, log_signal(port.data), log_id(mem->memid), log_id(module));
839
840 decls.push_back(stringf("(define-fun |%s_m:R%dA %s| ((state |%s_s|)) (_ BitVec %d) %s) ; %s\n",
841 get_id(module), i, get_id(mem->memid), get_id(module), abits, addr.c_str(), log_signal(addr_sig)));
842
843 decls.push_back(stringf("(define-fun |%s#%d| ((state |%s_s|)) (_ BitVec %d) (select (|%s| state) (|%s_m:R%dA %s| state))) ; %s\n",
844 get_id(module), idcounter, get_id(module), mem->width, memstate.c_str(), get_id(module), i, get_id(mem->memid), log_signal(port.data)));
845
846 decls.push_back(stringf("(define-fun |%s_m:R%dD %s| ((state |%s_s|)) (_ BitVec %d) (|%s#%d| state))\n",
847 get_id(module), i, get_id(mem->memid), get_id(module), mem->width, get_id(module), idcounter));
848
849 register_bv(port.data, idcounter++);
850 }
851 }
852
853 memory_queue.insert(mem);
854 recursive_cells.erase(cell);
855 return;
856 }
857
858 Module *m = module->design->module(cell->type);
859
860 if (m != nullptr)
861 {
862 decls.push_back(stringf("; yosys-smt2-cell %s %s\n", get_id(cell->type), get_id(cell->name)));
863 string cell_state = stringf("(|%s_h %s| state)", get_id(module), get_id(cell->name));
864
865 for (auto &conn : cell->connections())
866 {
867 if (GetSize(conn.second) == 0)
868 continue;
869
870 Wire *w = m->wire(conn.first);
871 SigSpec sig = sigmap(conn.second);
872
873 if (w->port_output && !w->port_input) {
874 if (GetSize(w) > 1) {
875 if (bvmode) {
876 makebits(stringf("%s#%d", get_id(module), idcounter), GetSize(w), log_signal(sig));
877 register_bv(sig, idcounter++);
878 } else {
879 for (int i = 0; i < GetSize(w); i++) {
880 makebits(stringf("%s#%d", get_id(module), idcounter), 0, log_signal(sig[i]));
881 register_bool(sig[i], idcounter++);
882 }
883 }
884 } else {
885 makebits(stringf("%s#%d", get_id(module), idcounter), 0, log_signal(sig));
886 register_bool(sig, idcounter++);
887 }
888 }
889 }
890
891 if (statebv)
892 makebits(stringf("%s_h %s", get_id(module), get_id(cell->name)), mod_stbv_width.at(cell->type));
893 else if (statedt)
894 dtmembers.push_back(stringf(" (|%s_h %s| |%s_s|)\n",
895 get_id(module), get_id(cell->name), get_id(cell->type)));
896 else
897 decls.push_back(stringf("(declare-fun |%s_h %s| (|%s_s|) |%s_s|)\n",
898 get_id(module), get_id(cell->name), get_id(module), get_id(cell->type)));
899
900 hiercells.insert(cell);
901 hiercells_queue.insert(cell);
902 recursive_cells.erase(cell);
903 return;
904 }
905
906 if (cell->type.in(ID($dffe), ID($sdff), ID($sdffe), ID($sdffce)) || cell->type.str().substr(0, 6) == "$_SDFF" || (cell->type.str().substr(0, 6) == "$_DFFE" && cell->type.str().size() == 10)) {
907 log_error("Unsupported cell type %s for cell %s.%s -- please run `dffunmap` before `write_smt2`.\n",
908 log_id(cell->type), log_id(module), log_id(cell));
909 }
910 if (cell->type.in(ID($adff), ID($adffe), ID($aldff), ID($aldffe), ID($dffsr), ID($dffsre)) || cell->type.str().substr(0, 5) == "$_DFF" || cell->type.str().substr(0, 7) == "$_ALDFF") {
911 log_error("Unsupported cell type %s for cell %s.%s -- please run `async2sync; dffunmap` or `clk2fflogic` before `write_smt2`.\n",
912 log_id(cell->type), log_id(module), log_id(cell));
913 }
914 if (cell->type.in(ID($sr), ID($dlatch), ID($adlatch), ID($dlatchsr)) || cell->type.str().substr(0, 8) == "$_DLATCH" || cell->type.str().substr(0, 5) == "$_SR_") {
915 log_error("Unsupported cell type %s for cell %s.%s -- please run `clk2fflogic` before `write_smt2`.\n",
916 log_id(cell->type), log_id(module), log_id(cell));
917 }
918 log_error("Unsupported cell type %s for cell %s.%s.\n",
919 log_id(cell->type), log_id(module), log_id(cell));
920 }
921
922 void run()
923 {
924 if (verbose) log("=> export logic driving outputs\n");
925
926 pool<SigBit> reg_bits;
927 for (auto cell : module->cells())
928 if (cell->type.in(ID($ff), ID($dff), ID($_FF_), ID($_DFF_P_), ID($_DFF_N_))) {
929 // not using sigmap -- we want the net directly at the dff output
930 for (auto bit : cell->getPort(ID::Q))
931 reg_bits.insert(bit);
932 }
933
934 for (auto wire : module->wires()) {
935 bool is_register = false;
936 for (auto bit : SigSpec(wire))
937 if (reg_bits.count(bit))
938 is_register = true;
939 if (wire->port_id || is_register || wire->get_bool_attribute(ID::keep) || (wiresmode && wire->name.isPublic())) {
940 RTLIL::SigSpec sig = sigmap(wire);
941 std::vector<std::string> comments;
942 if (wire->port_input)
943 comments.push_back(stringf("; yosys-smt2-input %s %d\n", get_id(wire), wire->width));
944 if (wire->port_output)
945 comments.push_back(stringf("; yosys-smt2-output %s %d\n", get_id(wire), wire->width));
946 if (is_register)
947 comments.push_back(stringf("; yosys-smt2-register %s %d\n", get_id(wire), wire->width));
948 if (wire->get_bool_attribute(ID::keep) || (wiresmode && wire->name.isPublic()))
949 comments.push_back(stringf("; yosys-smt2-wire %s %d\n", get_id(wire), wire->width));
950 if (GetSize(wire) == 1 && (clock_posedge.count(sig) || clock_negedge.count(sig)))
951 comments.push_back(stringf("; yosys-smt2-clock %s%s%s\n", get_id(wire),
952 clock_posedge.count(sig) ? " posedge" : "", clock_negedge.count(sig) ? " negedge" : ""));
953 if (bvmode && GetSize(sig) > 1) {
954 std::string sig_bv = get_bv(sig);
955 if (!comments.empty())
956 decls.insert(decls.end(), comments.begin(), comments.end());
957 decls.push_back(stringf("(define-fun |%s_n %s| ((state |%s_s|)) (_ BitVec %d) %s)\n",
958 get_id(module), get_id(wire), get_id(module), GetSize(sig), sig_bv.c_str()));
959 if (wire->port_input)
960 ex_input_eq.push_back(stringf(" (= (|%s_n %s| state) (|%s_n %s| other_state))",
961 get_id(module), get_id(wire), get_id(module), get_id(wire)));
962 } else {
963 std::vector<std::string> sig_bool;
964 for (int i = 0; i < GetSize(sig); i++) {
965 sig_bool.push_back(get_bool(sig[i]));
966 }
967 if (!comments.empty())
968 decls.insert(decls.end(), comments.begin(), comments.end());
969 for (int i = 0; i < GetSize(sig); i++) {
970 if (GetSize(sig) > 1) {
971 decls.push_back(stringf("(define-fun |%s_n %s %d| ((state |%s_s|)) Bool %s)\n",
972 get_id(module), get_id(wire), i, get_id(module), sig_bool[i].c_str()));
973 if (wire->port_input)
974 ex_input_eq.push_back(stringf(" (= (|%s_n %s %d| state) (|%s_n %s %d| other_state))",
975 get_id(module), get_id(wire), i, get_id(module), get_id(wire), i));
976 } else {
977 decls.push_back(stringf("(define-fun |%s_n %s| ((state |%s_s|)) Bool %s)\n",
978 get_id(module), get_id(wire), get_id(module), sig_bool[i].c_str()));
979 if (wire->port_input)
980 ex_input_eq.push_back(stringf(" (= (|%s_n %s| state) (|%s_n %s| other_state))",
981 get_id(module), get_id(wire), get_id(module), get_id(wire)));
982 }
983 }
984 }
985 }
986 }
987
988 if (verbose) log("=> export logic associated with the initial state\n");
989
990 vector<string> init_list;
991 for (auto wire : module->wires())
992 if (wire->attributes.count(ID::init)) {
993 RTLIL::SigSpec sig = sigmap(wire);
994 Const val = wire->attributes.at(ID::init);
995 val.bits.resize(GetSize(sig), State::Sx);
996 if (bvmode && GetSize(sig) > 1) {
997 Const mask(State::S1, GetSize(sig));
998 bool use_mask = false;
999 for (int i = 0; i < GetSize(sig); i++)
1000 if (val[i] != State::S0 && val[i] != State::S1) {
1001 val[i] = State::S0;
1002 mask[i] = State::S0;
1003 use_mask = true;
1004 }
1005 if (use_mask)
1006 init_list.push_back(stringf("(= (bvand %s #b%s) #b%s) ; %s", get_bv(sig).c_str(), mask.as_string().c_str(), val.as_string().c_str(), get_id(wire)));
1007 else
1008 init_list.push_back(stringf("(= %s #b%s) ; %s", get_bv(sig).c_str(), val.as_string().c_str(), get_id(wire)));
1009 } else {
1010 for (int i = 0; i < GetSize(sig); i++)
1011 if (val[i] == State::S0 || val[i] == State::S1)
1012 init_list.push_back(stringf("(= %s %s) ; %s", get_bool(sig[i]).c_str(), val[i] == State::S1 ? "true" : "false", get_id(wire)));
1013 }
1014 }
1015
1016 if (verbose) log("=> export logic driving asserts\n");
1017
1018 int assert_id = 0, assume_id = 0, cover_id = 0;
1019 vector<string> assert_list, assume_list, cover_list;
1020
1021 for (auto cell : module->cells())
1022 {
1023 if (cell->type.in(ID($assert), ID($assume), ID($cover)))
1024 {
1025 int &id = cell->type == ID($assert) ? assert_id :
1026 cell->type == ID($assume) ? assume_id :
1027 cell->type == ID($cover) ? cover_id : *(int*)nullptr;
1028
1029 char postfix = cell->type == ID($assert) ? 'a' :
1030 cell->type == ID($assume) ? 'u' :
1031 cell->type == ID($cover) ? 'c' : 0;
1032
1033 string name_a = get_bool(cell->getPort(ID::A));
1034 string name_en = get_bool(cell->getPort(ID::EN));
1035 if (cell->name[0] == '$' && cell->attributes.count(ID::src))
1036 decls.push_back(stringf("; yosys-smt2-%s %d %s %s\n", cell->type.c_str() + 1, id, get_id(cell), cell->attributes.at(ID::src).decode_string().c_str()));
1037 else
1038 decls.push_back(stringf("; yosys-smt2-%s %d %s\n", cell->type.c_str() + 1, id, get_id(cell)));
1039
1040 if (cell->type == ID($cover))
1041 decls.push_back(stringf("(define-fun |%s_%c %d| ((state |%s_s|)) Bool (and %s %s)) ; %s\n",
1042 get_id(module), postfix, id, get_id(module), name_a.c_str(), name_en.c_str(), get_id(cell)));
1043 else
1044 decls.push_back(stringf("(define-fun |%s_%c %d| ((state |%s_s|)) Bool (or %s (not %s))) ; %s\n",
1045 get_id(module), postfix, id, get_id(module), name_a.c_str(), name_en.c_str(), get_id(cell)));
1046
1047 if (cell->type == ID($assert))
1048 assert_list.push_back(stringf("(|%s_a %d| state)", get_id(module), id));
1049 else if (cell->type == ID($assume))
1050 assume_list.push_back(stringf("(|%s_u %d| state)", get_id(module), id));
1051
1052 id++;
1053 }
1054 }
1055
1056 if (verbose) log("=> export logic driving hierarchical cells\n");
1057
1058 for (auto cell : module->cells())
1059 if (module->design->module(cell->type) != nullptr)
1060 export_cell(cell);
1061
1062 while (!hiercells_queue.empty())
1063 {
1064 std::set<RTLIL::Cell*> queue;
1065 queue.swap(hiercells_queue);
1066
1067 for (auto cell : queue)
1068 {
1069 string cell_state = stringf("(|%s_h %s| state)", get_id(module), get_id(cell->name));
1070 Module *m = module->design->module(cell->type);
1071 log_assert(m != nullptr);
1072
1073 hier.push_back(stringf(" (= (|%s_is| state) (|%s_is| %s))\n",
1074 get_id(module), get_id(cell->type), cell_state.c_str()));
1075
1076 for (auto &conn : cell->connections())
1077 {
1078 if (GetSize(conn.second) == 0)
1079 continue;
1080
1081 Wire *w = m->wire(conn.first);
1082 SigSpec sig = sigmap(conn.second);
1083
1084 if (bvmode || GetSize(w) == 1) {
1085 hier.push_back(stringf(" (= %s (|%s_n %s| %s)) ; %s.%s\n", (GetSize(w) > 1 ? get_bv(sig) : get_bool(sig)).c_str(),
1086 get_id(cell->type), get_id(w), cell_state.c_str(), get_id(cell->type), get_id(w)));
1087 } else {
1088 for (int i = 0; i < GetSize(w); i++)
1089 hier.push_back(stringf(" (= %s (|%s_n %s %d| %s)) ; %s.%s[%d]\n", get_bool(sig[i]).c_str(),
1090 get_id(cell->type), get_id(w), i, cell_state.c_str(), get_id(cell->type), get_id(w), i));
1091 }
1092 }
1093 }
1094 }
1095
1096 for (int iter = 1; !registers.empty() || !memory_queue.empty(); iter++)
1097 {
1098 pool<Cell*> this_regs;
1099 this_regs.swap(registers);
1100
1101 if (verbose) log("=> export logic driving registers [iteration %d]\n", iter);
1102
1103 for (auto cell : this_regs)
1104 {
1105 if (cell->type.in(ID($_FF_), ID($_DFF_P_), ID($_DFF_N_)))
1106 {
1107 std::string expr_d = get_bool(cell->getPort(ID::D));
1108 std::string expr_q = get_bool(cell->getPort(ID::Q), "next_state");
1109 trans.push_back(stringf(" (= %s %s) ; %s %s\n", expr_d.c_str(), expr_q.c_str(), get_id(cell), log_signal(cell->getPort(ID::Q))));
1110 ex_state_eq.push_back(stringf("(= %s %s)", get_bool(cell->getPort(ID::Q)).c_str(), get_bool(cell->getPort(ID::Q), "other_state").c_str()));
1111 }
1112
1113 if (cell->type.in(ID($ff), ID($dff)))
1114 {
1115 std::string expr_d = get_bv(cell->getPort(ID::D));
1116 std::string expr_q = get_bv(cell->getPort(ID::Q), "next_state");
1117 trans.push_back(stringf(" (= %s %s) ; %s %s\n", expr_d.c_str(), expr_q.c_str(), get_id(cell), log_signal(cell->getPort(ID::Q))));
1118 ex_state_eq.push_back(stringf("(= %s %s)", get_bv(cell->getPort(ID::Q)).c_str(), get_bv(cell->getPort(ID::Q), "other_state").c_str()));
1119 }
1120
1121 if (cell->type.in(ID($anyconst), ID($allconst)))
1122 {
1123 std::string expr_d = get_bv(cell->getPort(ID::Y));
1124 std::string expr_q = get_bv(cell->getPort(ID::Y), "next_state");
1125 trans.push_back(stringf(" (= %s %s) ; %s %s\n", expr_d.c_str(), expr_q.c_str(), get_id(cell), log_signal(cell->getPort(ID::Y))));
1126 if (cell->type == ID($anyconst))
1127 ex_state_eq.push_back(stringf("(= %s %s)", get_bv(cell->getPort(ID::Y)).c_str(), get_bv(cell->getPort(ID::Y), "other_state").c_str()));
1128 }
1129 }
1130
1131 std::set<Mem*> this_mems;
1132 this_mems.swap(memory_queue);
1133
1134 for (auto mem : this_mems)
1135 {
1136 int arrayid = memarrays.at(mem);
1137
1138 int abits = ceil_log2(mem->size);;
1139
1140 bool has_sync_wr = false;
1141 bool has_async_wr = false;
1142 for (auto &port : mem->wr_ports) {
1143 if (port.clk_enable)
1144 has_sync_wr = true;
1145 else
1146 has_async_wr = true;
1147 }
1148
1149 string initial_memstate, final_memstate;
1150
1151 if (has_async_wr) {
1152 log_assert(!has_sync_wr);
1153 initial_memstate = stringf("%s#%d#0", get_id(module), arrayid);
1154 final_memstate = stringf("%s#%d#final", get_id(module), arrayid);
1155 }
1156
1157 if (statebv)
1158 {
1159 if (has_async_wr) {
1160 makebits(final_memstate, mem->width*mem->size, get_id(mem->memid));
1161 }
1162
1163 for (int i = 0; i < GetSize(mem->wr_ports); i++)
1164 {
1165 auto &port = mem->wr_ports[i];
1166 SigSpec addr_sig = port.addr;
1167 addr_sig.extend_u0(abits);
1168
1169 std::string addr = get_bv(addr_sig);
1170 std::string data = get_bv(port.data);
1171 std::string mask = get_bv(port.en);
1172
1173 decls.push_back(stringf("(define-fun |%s_m:W%dA %s| ((state |%s_s|)) (_ BitVec %d) %s) ; %s\n",
1174 get_id(module), i, get_id(mem->memid), get_id(module), abits, addr.c_str(), log_signal(addr_sig)));
1175 addr = stringf("(|%s_m:W%dA %s| state)", get_id(module), i, get_id(mem->memid));
1176
1177 decls.push_back(stringf("(define-fun |%s_m:W%dD %s| ((state |%s_s|)) (_ BitVec %d) %s) ; %s\n",
1178 get_id(module), i, get_id(mem->memid), get_id(module), mem->width, data.c_str(), log_signal(port.data)));
1179 data = stringf("(|%s_m:W%dD %s| state)", get_id(module), i, get_id(mem->memid));
1180
1181 decls.push_back(stringf("(define-fun |%s_m:W%dM %s| ((state |%s_s|)) (_ BitVec %d) %s) ; %s\n",
1182 get_id(module), i, get_id(mem->memid), get_id(module), mem->width, mask.c_str(), log_signal(port.en)));
1183 mask = stringf("(|%s_m:W%dM %s| state)", get_id(module), i, get_id(mem->memid));
1184
1185 std::string data_expr;
1186
1187 for (int k = mem->size-1; k >= 0; k--) {
1188 std::string new_data = stringf("(bvor (bvand %s %s) (bvand ((_ extract %d %d) (|%s#%d#%d| state)) (bvnot %s)))",
1189 data.c_str(), mask.c_str(), mem->width*(k+1)-1, mem->width*k, get_id(module), arrayid, i, mask.c_str());
1190 data_expr += stringf("\n (ite (= %s #b%s) %s ((_ extract %d %d) (|%s#%d#%d| state)))",
1191 addr.c_str(), Const(k+mem->start_offset, abits).as_string().c_str(), new_data.c_str(),
1192 mem->width*(k+1)-1, mem->width*k, get_id(module), arrayid, i);
1193 }
1194
1195 decls.push_back(stringf("(define-fun |%s#%d#%d| ((state |%s_s|)) (_ BitVec %d) (concat%s)) ; %s\n",
1196 get_id(module), arrayid, i+1, get_id(module), mem->width*mem->size, data_expr.c_str(), get_id(mem->memid)));
1197 }
1198 }
1199 else
1200 {
1201 if (has_async_wr) {
1202 if (statedt)
1203 dtmembers.push_back(stringf(" (|%s| (Array (_ BitVec %d) (_ BitVec %d))) ; %s\n",
1204 initial_memstate.c_str(), abits, mem->width, get_id(mem->memid)));
1205 else
1206 decls.push_back(stringf("(declare-fun |%s| (|%s_s|) (Array (_ BitVec %d) (_ BitVec %d))) ; %s\n",
1207 initial_memstate.c_str(), get_id(module), abits, mem->width, get_id(mem->memid)));
1208 }
1209
1210 for (int i = 0; i < GetSize(mem->wr_ports); i++)
1211 {
1212 auto &port = mem->wr_ports[i];
1213 SigSpec addr_sig = port.addr;
1214 addr_sig.extend_u0(abits);
1215
1216 std::string addr = get_bv(addr_sig);
1217 std::string data = get_bv(port.data);
1218 std::string mask = get_bv(port.en);
1219
1220 decls.push_back(stringf("(define-fun |%s_m:W%dA %s| ((state |%s_s|)) (_ BitVec %d) %s) ; %s\n",
1221 get_id(module), i, get_id(mem->memid), get_id(module), abits, addr.c_str(), log_signal(addr_sig)));
1222 addr = stringf("(|%s_m:W%dA %s| state)", get_id(module), i, get_id(mem->memid));
1223
1224 decls.push_back(stringf("(define-fun |%s_m:W%dD %s| ((state |%s_s|)) (_ BitVec %d) %s) ; %s\n",
1225 get_id(module), i, get_id(mem->memid), get_id(module), mem->width, data.c_str(), log_signal(port.data)));
1226 data = stringf("(|%s_m:W%dD %s| state)", get_id(module), i, get_id(mem->memid));
1227
1228 decls.push_back(stringf("(define-fun |%s_m:W%dM %s| ((state |%s_s|)) (_ BitVec %d) %s) ; %s\n",
1229 get_id(module), i, get_id(mem->memid), get_id(module), mem->width, mask.c_str(), log_signal(port.en)));
1230 mask = stringf("(|%s_m:W%dM %s| state)", get_id(module), i, get_id(mem->memid));
1231
1232 data = stringf("(bvor (bvand %s %s) (bvand (select (|%s#%d#%d| state) %s) (bvnot %s)))",
1233 data.c_str(), mask.c_str(), get_id(module), arrayid, i, addr.c_str(), mask.c_str());
1234
1235 string empty_mask(mem->width, '0');
1236
1237 decls.push_back(stringf("(define-fun |%s#%d#%d| ((state |%s_s|)) (Array (_ BitVec %d) (_ BitVec %d)) "
1238 "(ite (= %s #b%s) (|%s#%d#%d| state) (store (|%s#%d#%d| state) %s %s))) ; %s\n",
1239 get_id(module), arrayid, i+1, get_id(module), abits, mem->width,
1240 mask.c_str(), empty_mask.c_str(), get_id(module), arrayid, i, get_id(module), arrayid, i, addr.c_str(), data.c_str(), get_id(mem->memid)));
1241 }
1242 }
1243
1244 std::string expr_d = stringf("(|%s#%d#%d| state)", get_id(module), arrayid, GetSize(mem->wr_ports));
1245 std::string expr_q = stringf("(|%s#%d#0| next_state)", get_id(module), arrayid);
1246 trans.push_back(stringf(" (= %s %s) ; %s\n", expr_d.c_str(), expr_q.c_str(), get_id(mem->memid)));
1247 ex_state_eq.push_back(stringf("(= (|%s#%d#0| state) (|%s#%d#0| other_state))", get_id(module), arrayid, get_id(module), arrayid));
1248
1249 if (has_async_wr)
1250 hier.push_back(stringf(" (= %s (|%s| state)) ; %s\n", expr_d.c_str(), final_memstate.c_str(), get_id(mem->memid)));
1251
1252 Const init_data = mem->get_init_data();
1253
1254 for (int i = 0; i < mem->size; i++)
1255 {
1256 if (i*mem->width >= GetSize(init_data))
1257 break;
1258
1259 Const initword = init_data.extract(i*mem->width, mem->width, State::Sx);
1260 Const initmask = initword;
1261 bool gen_init_constr = false;
1262
1263 for (int k = 0; k < GetSize(initword); k++) {
1264 if (initword[k] == State::S0 || initword[k] == State::S1) {
1265 gen_init_constr = true;
1266 initmask[k] = State::S1;
1267 } else {
1268 initmask[k] = State::S0;
1269 initword[k] = State::S0;
1270 }
1271 }
1272
1273 if (gen_init_constr)
1274 {
1275 if (statebv)
1276 /* FIXME */;
1277 else
1278 init_list.push_back(stringf("(= (bvand (select (|%s#%d#0| state) #b%s) #b%s) #b%s) ; %s[%d]",
1279 get_id(module), arrayid, Const(i, abits).as_string().c_str(),
1280 initmask.as_string().c_str(), initword.as_string().c_str(), get_id(mem->memid), i));
1281 }
1282 }
1283 }
1284 }
1285
1286 if (verbose) log("=> finalizing SMT2 representation of %s.\n", log_id(module));
1287
1288 for (auto c : hiercells) {
1289 assert_list.push_back(stringf("(|%s_a| (|%s_h %s| state))", get_id(c->type), get_id(module), get_id(c->name)));
1290 assume_list.push_back(stringf("(|%s_u| (|%s_h %s| state))", get_id(c->type), get_id(module), get_id(c->name)));
1291 init_list.push_back(stringf("(|%s_i| (|%s_h %s| state))", get_id(c->type), get_id(module), get_id(c->name)));
1292 hier.push_back(stringf(" (|%s_h| (|%s_h %s| state))\n", get_id(c->type), get_id(module), get_id(c->name)));
1293 trans.push_back(stringf(" (|%s_t| (|%s_h %s| state) (|%s_h %s| next_state))\n",
1294 get_id(c->type), get_id(module), get_id(c->name), get_id(module), get_id(c->name)));
1295 ex_state_eq.push_back(stringf("(|%s_ex_state_eq| (|%s_h %s| state) (|%s_h %s| other_state))\n",
1296 get_id(c->type), get_id(module), get_id(c->name), get_id(module), get_id(c->name)));
1297 }
1298
1299 if (forallmode)
1300 {
1301 string expr = ex_state_eq.empty() ? "true" : "(and";
1302 if (!ex_state_eq.empty()) {
1303 if (GetSize(ex_state_eq) == 1) {
1304 expr = "\n " + ex_state_eq.front() + "\n";
1305 } else {
1306 for (auto &str : ex_state_eq)
1307 expr += stringf("\n %s", str.c_str());
1308 expr += "\n)";
1309 }
1310 }
1311 decls.push_back(stringf("(define-fun |%s_ex_state_eq| ((state |%s_s|) (other_state |%s_s|)) Bool %s)\n",
1312 get_id(module), get_id(module), get_id(module), expr.c_str()));
1313
1314 expr = ex_input_eq.empty() ? "true" : "(and";
1315 if (!ex_input_eq.empty()) {
1316 if (GetSize(ex_input_eq) == 1) {
1317 expr = "\n " + ex_input_eq.front() + "\n";
1318 } else {
1319 for (auto &str : ex_input_eq)
1320 expr += stringf("\n %s", str.c_str());
1321 expr += "\n)";
1322 }
1323 }
1324 decls.push_back(stringf("(define-fun |%s_ex_input_eq| ((state |%s_s|) (other_state |%s_s|)) Bool %s)\n",
1325 get_id(module), get_id(module), get_id(module), expr.c_str()));
1326 }
1327
1328 string assert_expr = assert_list.empty() ? "true" : "(and";
1329 if (!assert_list.empty()) {
1330 if (GetSize(assert_list) == 1) {
1331 assert_expr = "\n " + assert_list.front() + "\n";
1332 } else {
1333 for (auto &str : assert_list)
1334 assert_expr += stringf("\n %s", str.c_str());
1335 assert_expr += "\n)";
1336 }
1337 }
1338 decls.push_back(stringf("(define-fun |%s_a| ((state |%s_s|)) Bool %s)\n",
1339 get_id(module), get_id(module), assert_expr.c_str()));
1340
1341 string assume_expr = assume_list.empty() ? "true" : "(and";
1342 if (!assume_list.empty()) {
1343 if (GetSize(assume_list) == 1) {
1344 assume_expr = "\n " + assume_list.front() + "\n";
1345 } else {
1346 for (auto &str : assume_list)
1347 assume_expr += stringf("\n %s", str.c_str());
1348 assume_expr += "\n)";
1349 }
1350 }
1351 decls.push_back(stringf("(define-fun |%s_u| ((state |%s_s|)) Bool %s)\n",
1352 get_id(module), get_id(module), assume_expr.c_str()));
1353
1354 string init_expr = init_list.empty() ? "true" : "(and";
1355 if (!init_list.empty()) {
1356 if (GetSize(init_list) == 1) {
1357 init_expr = "\n " + init_list.front() + "\n";
1358 } else {
1359 for (auto &str : init_list)
1360 init_expr += stringf("\n %s", str.c_str());
1361 init_expr += "\n)";
1362 }
1363 }
1364 decls.push_back(stringf("(define-fun |%s_i| ((state |%s_s|)) Bool %s)\n",
1365 get_id(module), get_id(module), init_expr.c_str()));
1366 }
1367
1368 void write(std::ostream &f)
1369 {
1370 f << stringf("; yosys-smt2-module %s\n", get_id(module));
1371
1372 if (statebv) {
1373 f << stringf("(define-sort |%s_s| () (_ BitVec %d))\n", get_id(module), statebv_width);
1374 mod_stbv_width[module->name] = statebv_width;
1375 } else
1376 if (statedt) {
1377 f << stringf("(declare-datatype |%s_s| ((|%s_mk|\n", get_id(module), get_id(module));
1378 for (auto it : dtmembers)
1379 f << it;
1380 f << stringf(")))\n");
1381 } else
1382 f << stringf("(declare-sort |%s_s| 0)\n", get_id(module));
1383
1384 for (auto it : decls)
1385 f << it;
1386
1387 f << stringf("(define-fun |%s_h| ((state |%s_s|)) Bool ", get_id(module), get_id(module));
1388 if (GetSize(hier) > 1) {
1389 f << "(and\n";
1390 for (auto it : hier)
1391 f << it;
1392 f << "))\n";
1393 } else
1394 if (GetSize(hier) == 1)
1395 f << "\n" + hier.front() + ")\n";
1396 else
1397 f << "true)\n";
1398
1399 f << stringf("(define-fun |%s_t| ((state |%s_s|) (next_state |%s_s|)) Bool ", get_id(module), get_id(module), get_id(module));
1400 if (GetSize(trans) > 1) {
1401 f << "(and\n";
1402 for (auto it : trans)
1403 f << it;
1404 f << "))";
1405 } else
1406 if (GetSize(trans) == 1)
1407 f << "\n" + trans.front() + ")";
1408 else
1409 f << "true)";
1410 f << stringf(" ; end of module %s\n", get_id(module));
1411 }
1412 };
1413
1414 struct Smt2Backend : public Backend {
1415 Smt2Backend() : Backend("smt2", "write design to SMT-LIBv2 file") { }
1416 void help() override
1417 {
1418 // |---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|
1419 log("\n");
1420 log(" write_smt2 [options] [filename]\n");
1421 log("\n");
1422 log("Write a SMT-LIBv2 [1] description of the current design. For a module with name\n");
1423 log("'<mod>' this will declare the sort '<mod>_s' (state of the module) and will\n");
1424 log("define and declare functions operating on that state.\n");
1425 log("\n");
1426 log("The following SMT2 functions are generated for a module with name '<mod>'.\n");
1427 log("Some declarations/definitions are printed with a special comment. A prover\n");
1428 log("using the SMT2 files can use those comments to collect all relevant metadata\n");
1429 log("about the design.\n");
1430 log("\n");
1431 log(" ; yosys-smt2-module <mod>\n");
1432 log(" (declare-sort |<mod>_s| 0)\n");
1433 log(" The sort representing a state of module <mod>.\n");
1434 log("\n");
1435 log(" (define-fun |<mod>_h| ((state |<mod>_s|)) Bool (...))\n");
1436 log(" This function must be asserted for each state to establish the\n");
1437 log(" design hierarchy.\n");
1438 log("\n");
1439 log(" ; yosys-smt2-input <wirename> <width>\n");
1440 log(" ; yosys-smt2-output <wirename> <width>\n");
1441 log(" ; yosys-smt2-register <wirename> <width>\n");
1442 log(" ; yosys-smt2-wire <wirename> <width>\n");
1443 log(" (define-fun |<mod>_n <wirename>| (|<mod>_s|) (_ BitVec <width>))\n");
1444 log(" (define-fun |<mod>_n <wirename>| (|<mod>_s|) Bool)\n");
1445 log(" For each port, register, and wire with the 'keep' attribute set an\n");
1446 log(" accessor function is generated. Single-bit wires are returned as Bool,\n");
1447 log(" multi-bit wires as BitVec.\n");
1448 log("\n");
1449 log(" ; yosys-smt2-cell <submod> <instancename>\n");
1450 log(" (declare-fun |<mod>_h <instancename>| (|<mod>_s|) |<submod>_s|)\n");
1451 log(" There is a function like that for each hierarchical instance. It\n");
1452 log(" returns the sort that represents the state of the sub-module that\n");
1453 log(" implements the instance.\n");
1454 log("\n");
1455 log(" (declare-fun |<mod>_is| (|<mod>_s|) Bool)\n");
1456 log(" This function must be asserted 'true' for initial states, and 'false'\n");
1457 log(" otherwise.\n");
1458 log("\n");
1459 log(" (define-fun |<mod>_i| ((state |<mod>_s|)) Bool (...))\n");
1460 log(" This function must be asserted 'true' for initial states. For\n");
1461 log(" non-initial states it must be left unconstrained.\n");
1462 log("\n");
1463 log(" (define-fun |<mod>_t| ((state |<mod>_s|) (next_state |<mod>_s|)) Bool (...))\n");
1464 log(" This function evaluates to 'true' if the states 'state' and\n");
1465 log(" 'next_state' form a valid state transition.\n");
1466 log("\n");
1467 log(" (define-fun |<mod>_a| ((state |<mod>_s|)) Bool (...))\n");
1468 log(" This function evaluates to 'true' if all assertions hold in the state.\n");
1469 log("\n");
1470 log(" (define-fun |<mod>_u| ((state |<mod>_s|)) Bool (...))\n");
1471 log(" This function evaluates to 'true' if all assumptions hold in the state.\n");
1472 log("\n");
1473 log(" ; yosys-smt2-assert <id> <filename:linenum>\n");
1474 log(" (define-fun |<mod>_a <id>| ((state |<mod>_s|)) Bool (...))\n");
1475 log(" Each $assert cell is converted into one of this functions. The function\n");
1476 log(" evaluates to 'true' if the assert statement holds in the state.\n");
1477 log("\n");
1478 log(" ; yosys-smt2-assume <id> <filename:linenum>\n");
1479 log(" (define-fun |<mod>_u <id>| ((state |<mod>_s|)) Bool (...))\n");
1480 log(" Each $assume cell is converted into one of this functions. The function\n");
1481 log(" evaluates to 'true' if the assume statement holds in the state.\n");
1482 log("\n");
1483 log(" ; yosys-smt2-cover <id> <filename:linenum>\n");
1484 log(" (define-fun |<mod>_c <id>| ((state |<mod>_s|)) Bool (...))\n");
1485 log(" Each $cover cell is converted into one of this functions. The function\n");
1486 log(" evaluates to 'true' if the cover statement is activated in the state.\n");
1487 log("\n");
1488 log("Options:\n");
1489 log("\n");
1490 log(" -verbose\n");
1491 log(" this will print the recursive walk used to export the modules.\n");
1492 log("\n");
1493 log(" -stbv\n");
1494 log(" Use a BitVec sort to represent a state instead of an uninterpreted\n");
1495 log(" sort. As a side-effect this will prevent use of arrays to model\n");
1496 log(" memories.\n");
1497 log("\n");
1498 log(" -stdt\n");
1499 log(" Use SMT-LIB 2.6 style datatypes to represent a state instead of an\n");
1500 log(" uninterpreted sort.\n");
1501 log("\n");
1502 log(" -nobv\n");
1503 log(" disable support for BitVec (FixedSizeBitVectors theory). without this\n");
1504 log(" option multi-bit wires are represented using the BitVec sort and\n");
1505 log(" support for coarse grain cells (incl. arithmetic) is enabled.\n");
1506 log("\n");
1507 log(" -nomem\n");
1508 log(" disable support for memories (via ArraysEx theory). this option is\n");
1509 log(" implied by -nobv. only $mem cells without merged registers in\n");
1510 log(" read ports are supported. call \"memory\" with -nordff to make sure\n");
1511 log(" that no registers are merged into $mem read ports. '<mod>_m' functions\n");
1512 log(" will be generated for accessing the arrays that are used to represent\n");
1513 log(" memories.\n");
1514 log("\n");
1515 log(" -wires\n");
1516 log(" create '<mod>_n' functions for all public wires. by default only ports,\n");
1517 log(" registers, and wires with the 'keep' attribute are exported.\n");
1518 log("\n");
1519 log(" -tpl <template_file>\n");
1520 log(" use the given template file. the line containing only the token '%%%%'\n");
1521 log(" is replaced with the regular output of this command.\n");
1522 log("\n");
1523 log(" -solver-option <option> <value>\n");
1524 log(" emit a `; yosys-smt2-solver-option` directive for yosys-smtbmc to write\n");
1525 log(" the given option as a `(set-option ...)` command in the SMT-LIBv2.\n");
1526 log("\n");
1527 log("[1] For more information on SMT-LIBv2 visit http://smt-lib.org/ or read David\n");
1528 log("R. Cok's tutorial: https://smtlib.github.io/jSMTLIB/SMTLIBTutorial.pdf\n");
1529 log("\n");
1530 log("---------------------------------------------------------------------------\n");
1531 log("\n");
1532 log("Example:\n");
1533 log("\n");
1534 log("Consider the following module (test.v). We want to prove that the output can\n");
1535 log("never transition from a non-zero value to a zero value.\n");
1536 log("\n");
1537 log(" module test(input clk, output reg [3:0] y);\n");
1538 log(" always @(posedge clk)\n");
1539 log(" y <= (y << 1) | ^y;\n");
1540 log(" endmodule\n");
1541 log("\n");
1542 log("For this proof we create the following template (test.tpl).\n");
1543 log("\n");
1544 log(" ; we need QF_UFBV for this proof\n");
1545 log(" (set-logic QF_UFBV)\n");
1546 log("\n");
1547 log(" ; insert the auto-generated code here\n");
1548 log(" %%%%\n");
1549 log("\n");
1550 log(" ; declare two state variables s1 and s2\n");
1551 log(" (declare-fun s1 () test_s)\n");
1552 log(" (declare-fun s2 () test_s)\n");
1553 log("\n");
1554 log(" ; state s2 is the successor of state s1\n");
1555 log(" (assert (test_t s1 s2))\n");
1556 log("\n");
1557 log(" ; we are looking for a model with y non-zero in s1\n");
1558 log(" (assert (distinct (|test_n y| s1) #b0000))\n");
1559 log("\n");
1560 log(" ; we are looking for a model with y zero in s2\n");
1561 log(" (assert (= (|test_n y| s2) #b0000))\n");
1562 log("\n");
1563 log(" ; is there such a model?\n");
1564 log(" (check-sat)\n");
1565 log("\n");
1566 log("The following yosys script will create a 'test.smt2' file for our proof:\n");
1567 log("\n");
1568 log(" read_verilog test.v\n");
1569 log(" hierarchy -check; proc; opt; check -assert\n");
1570 log(" write_smt2 -bv -tpl test.tpl test.smt2\n");
1571 log("\n");
1572 log("Running 'cvc4 test.smt2' will print 'unsat' because y can never transition\n");
1573 log("from non-zero to zero in the test design.\n");
1574 log("\n");
1575 }
1576 void execute(std::ostream *&f, std::string filename, std::vector<std::string> args, RTLIL::Design *design) override
1577 {
1578 std::ifstream template_f;
1579 bool bvmode = true, memmode = true, wiresmode = false, verbose = false, statebv = false, statedt = false;
1580 bool forallmode = false;
1581 dict<std::string, std::string> solver_options;
1582
1583 log_header(design, "Executing SMT2 backend.\n");
1584
1585 log_push();
1586 Pass::call(design, "bmuxmap");
1587 Pass::call(design, "demuxmap");
1588 log_pop();
1589
1590 size_t argidx;
1591 for (argidx = 1; argidx < args.size(); argidx++)
1592 {
1593 if (args[argidx] == "-tpl" && argidx+1 < args.size()) {
1594 template_f.open(args[++argidx]);
1595 if (template_f.fail())
1596 log_error("Can't open template file `%s'.\n", args[argidx].c_str());
1597 continue;
1598 }
1599 if (args[argidx] == "-bv" || args[argidx] == "-mem") {
1600 log_warning("Options -bv and -mem are now the default. Support for -bv and -mem will be removed in the future.\n");
1601 continue;
1602 }
1603 if (args[argidx] == "-stbv") {
1604 statebv = true;
1605 statedt = false;
1606 continue;
1607 }
1608 if (args[argidx] == "-stdt") {
1609 statebv = false;
1610 statedt = true;
1611 continue;
1612 }
1613 if (args[argidx] == "-nobv") {
1614 bvmode = false;
1615 memmode = false;
1616 continue;
1617 }
1618 if (args[argidx] == "-nomem") {
1619 memmode = false;
1620 continue;
1621 }
1622 if (args[argidx] == "-wires") {
1623 wiresmode = true;
1624 continue;
1625 }
1626 if (args[argidx] == "-verbose") {
1627 verbose = true;
1628 continue;
1629 }
1630 if (args[argidx] == "-solver-option" && argidx+2 < args.size()) {
1631 solver_options.emplace(args[argidx+1], args[argidx+2]);
1632 argidx += 2;
1633 continue;
1634 }
1635 break;
1636 }
1637 extra_args(f, filename, args, argidx);
1638
1639 if (template_f.is_open()) {
1640 std::string line;
1641 while (std::getline(template_f, line)) {
1642 int indent = 0;
1643 while (indent < GetSize(line) && (line[indent] == ' ' || line[indent] == '\t'))
1644 indent++;
1645 if (line.compare(indent, 2, "%%") == 0)
1646 break;
1647 *f << line << std::endl;
1648 }
1649 }
1650
1651 *f << stringf("; SMT-LIBv2 description generated by %s\n", yosys_version_str);
1652
1653 if (!bvmode)
1654 *f << stringf("; yosys-smt2-nobv\n");
1655
1656 if (!memmode)
1657 *f << stringf("; yosys-smt2-nomem\n");
1658
1659 if (statebv)
1660 *f << stringf("; yosys-smt2-stbv\n");
1661
1662 if (statedt)
1663 *f << stringf("; yosys-smt2-stdt\n");
1664
1665 for (auto &it : solver_options)
1666 *f << stringf("; yosys-smt2-solver-option %s %s\n", it.first.c_str(), it.second.c_str());
1667
1668 std::vector<RTLIL::Module*> sorted_modules;
1669
1670 // extract module dependencies
1671 std::map<RTLIL::Module*, std::set<RTLIL::Module*>> module_deps;
1672 for (auto mod : design->modules()) {
1673 module_deps[mod] = std::set<RTLIL::Module*>();
1674 for (auto cell : mod->cells())
1675 if (design->has(cell->type))
1676 module_deps[mod].insert(design->module(cell->type));
1677 }
1678
1679 // simple good-enough topological sort
1680 // (O(n*m) on n elements and depth m)
1681 while (module_deps.size() > 0) {
1682 size_t sorted_modules_idx = sorted_modules.size();
1683 for (auto &it : module_deps) {
1684 for (auto &dep : it.second)
1685 if (module_deps.count(dep) > 0)
1686 goto not_ready_yet;
1687 // log("Next in topological sort: %s\n", log_id(it.first->name));
1688 sorted_modules.push_back(it.first);
1689 not_ready_yet:;
1690 }
1691 if (sorted_modules_idx == sorted_modules.size())
1692 log_error("Cyclic dependency between modules found! Cycle includes module %s.\n", log_id(module_deps.begin()->first->name));
1693 while (sorted_modules_idx < sorted_modules.size())
1694 module_deps.erase(sorted_modules.at(sorted_modules_idx++));
1695 }
1696
1697 dict<IdString, int> mod_stbv_width;
1698 dict<IdString, dict<IdString, pair<bool, bool>>> mod_clk_cache;
1699 Module *topmod = design->top_module();
1700 std::string topmod_id;
1701
1702 for (auto module : sorted_modules)
1703 for (auto cell : module->cells())
1704 if (cell->type.in(ID($allconst), ID($allseq)))
1705 goto found_forall;
1706 if (0) {
1707 found_forall:
1708 forallmode = true;
1709 *f << stringf("; yosys-smt2-forall\n");
1710 if (!statebv && !statedt)
1711 log_error("Forall-exists problems are only supported in -stbv or -stdt mode.\n");
1712 }
1713
1714 for (auto module : sorted_modules)
1715 {
1716 if (module->get_blackbox_attribute() || module->has_processes_warn())
1717 continue;
1718
1719 log("Creating SMT-LIBv2 representation of module %s.\n", log_id(module));
1720
1721 Smt2Worker worker(module, bvmode, memmode, wiresmode, verbose, statebv, statedt, forallmode, mod_stbv_width, mod_clk_cache);
1722 worker.run();
1723 worker.write(*f);
1724
1725 if (module == topmod)
1726 topmod_id = worker.get_id(module);
1727 }
1728
1729 if (topmod)
1730 *f << stringf("; yosys-smt2-topmod %s\n", topmod_id.c_str());
1731
1732 *f << stringf("; end of yosys output\n");
1733
1734 if (template_f.is_open()) {
1735 std::string line;
1736 while (std::getline(template_f, line))
1737 *f << line << std::endl;
1738 }
1739 }
1740 } Smt2Backend;
1741
1742 PRIVATE_NAMESPACE_END