FPU: Relax timing around multiplier output
[microwatt.git] / dcache.vhdl
1 --
2 -- Set associative dcache write-through
3 --
4 -- TODO (in no specific order):
5 --
6 -- * See list in icache.vhdl
7 -- * Complete load misses on the cycle when WB data comes instead of
8 -- at the end of line (this requires dealing with requests coming in
9 -- while not idle...)
10 --
11 library ieee;
12 use ieee.std_logic_1164.all;
13 use ieee.numeric_std.all;
14
15 library work;
16 use work.utils.all;
17 use work.common.all;
18 use work.helpers.all;
19 use work.wishbone_types.all;
20
21 entity dcache is
22 generic (
23 -- Line size in bytes
24 LINE_SIZE : positive := 64;
25 -- Number of lines in a set
26 NUM_LINES : positive := 32;
27 -- Number of ways
28 NUM_WAYS : positive := 4;
29 -- L1 DTLB entries per set
30 TLB_SET_SIZE : positive := 64;
31 -- L1 DTLB number of sets
32 TLB_NUM_WAYS : positive := 2;
33 -- L1 DTLB log_2(page_size)
34 TLB_LG_PGSZ : positive := 12;
35 -- Non-zero to enable log data collection
36 LOG_LENGTH : natural := 0
37 );
38 port (
39 clk : in std_ulogic;
40 rst : in std_ulogic;
41
42 d_in : in Loadstore1ToDcacheType;
43 d_out : out DcacheToLoadstore1Type;
44
45 m_in : in MmuToDcacheType;
46 m_out : out DcacheToMmuType;
47
48 stall_out : out std_ulogic;
49
50 wishbone_out : out wishbone_master_out;
51 wishbone_in : in wishbone_slave_out;
52
53 log_out : out std_ulogic_vector(19 downto 0)
54 );
55 end entity dcache;
56
57 architecture rtl of dcache is
58 -- BRAM organisation: We never access more than wishbone_data_bits at
59 -- a time so to save resources we make the array only that wide, and
60 -- use consecutive indices for to make a cache "line"
61 --
62 -- ROW_SIZE is the width in bytes of the BRAM (based on WB, so 64-bits)
63 constant ROW_SIZE : natural := wishbone_data_bits / 8;
64 -- ROW_PER_LINE is the number of row (wishbone transactions) in a line
65 constant ROW_PER_LINE : natural := LINE_SIZE / ROW_SIZE;
66 -- BRAM_ROWS is the number of rows in BRAM needed to represent the full
67 -- dcache
68 constant BRAM_ROWS : natural := NUM_LINES * ROW_PER_LINE;
69
70 -- Bit fields counts in the address
71
72 -- REAL_ADDR_BITS is the number of real address bits that we store
73 constant REAL_ADDR_BITS : positive := 56;
74 -- ROW_BITS is the number of bits to select a row
75 constant ROW_BITS : natural := log2(BRAM_ROWS);
76 -- ROW_LINEBITS is the number of bits to select a row within a line
77 constant ROW_LINEBITS : natural := log2(ROW_PER_LINE);
78 -- LINE_OFF_BITS is the number of bits for the offset in a cache line
79 constant LINE_OFF_BITS : natural := log2(LINE_SIZE);
80 -- ROW_OFF_BITS is the number of bits for the offset in a row
81 constant ROW_OFF_BITS : natural := log2(ROW_SIZE);
82 -- INDEX_BITS is the number if bits to select a cache line
83 constant INDEX_BITS : natural := log2(NUM_LINES);
84 -- SET_SIZE_BITS is the log base 2 of the set size
85 constant SET_SIZE_BITS : natural := LINE_OFF_BITS + INDEX_BITS;
86 -- TAG_BITS is the number of bits of the tag part of the address
87 constant TAG_BITS : natural := REAL_ADDR_BITS - SET_SIZE_BITS;
88 -- TAG_WIDTH is the width in bits of each way of the tag RAM
89 constant TAG_WIDTH : natural := TAG_BITS + 7 - ((TAG_BITS + 7) mod 8);
90 -- WAY_BITS is the number of bits to select a way
91 constant WAY_BITS : natural := log2(NUM_WAYS);
92
93 -- Example of layout for 32 lines of 64 bytes:
94 --
95 -- .. tag |index| line |
96 -- .. | row | |
97 -- .. | |---| | ROW_LINEBITS (3)
98 -- .. | |--- - --| LINE_OFF_BITS (6)
99 -- .. | |- --| ROW_OFF_BITS (3)
100 -- .. |----- ---| | ROW_BITS (8)
101 -- .. |-----| | INDEX_BITS (5)
102 -- .. --------| | TAG_BITS (45)
103
104 subtype row_t is integer range 0 to BRAM_ROWS-1;
105 subtype index_t is integer range 0 to NUM_LINES-1;
106 subtype way_t is integer range 0 to NUM_WAYS-1;
107 subtype row_in_line_t is unsigned(ROW_LINEBITS-1 downto 0);
108
109 -- The cache data BRAM organized as described above for each way
110 subtype cache_row_t is std_ulogic_vector(wishbone_data_bits-1 downto 0);
111
112 -- The cache tags LUTRAM has a row per set. Vivado is a pain and will
113 -- not handle a clean (commented) definition of the cache tags as a 3d
114 -- memory. For now, work around it by putting all the tags
115 subtype cache_tag_t is std_logic_vector(TAG_BITS-1 downto 0);
116 -- type cache_tags_set_t is array(way_t) of cache_tag_t;
117 -- type cache_tags_array_t is array(index_t) of cache_tags_set_t;
118 constant TAG_RAM_WIDTH : natural := TAG_WIDTH * NUM_WAYS;
119 subtype cache_tags_set_t is std_logic_vector(TAG_RAM_WIDTH-1 downto 0);
120 type cache_tags_array_t is array(index_t) of cache_tags_set_t;
121
122 -- The cache valid bits
123 subtype cache_way_valids_t is std_ulogic_vector(NUM_WAYS-1 downto 0);
124 type cache_valids_t is array(index_t) of cache_way_valids_t;
125 type row_per_line_valid_t is array(0 to ROW_PER_LINE - 1) of std_ulogic;
126
127 -- Storage. Hopefully "cache_rows" is a BRAM, the rest is LUTs
128 signal cache_tags : cache_tags_array_t;
129 signal cache_tag_set : cache_tags_set_t;
130 signal cache_valids : cache_valids_t;
131
132 attribute ram_style : string;
133 attribute ram_style of cache_tags : signal is "distributed";
134
135 -- L1 TLB.
136 constant TLB_SET_BITS : natural := log2(TLB_SET_SIZE);
137 constant TLB_WAY_BITS : natural := log2(TLB_NUM_WAYS);
138 constant TLB_EA_TAG_BITS : natural := 64 - (TLB_LG_PGSZ + TLB_SET_BITS);
139 constant TLB_TAG_WAY_BITS : natural := TLB_NUM_WAYS * TLB_EA_TAG_BITS;
140 constant TLB_PTE_BITS : natural := 64;
141 constant TLB_PTE_WAY_BITS : natural := TLB_NUM_WAYS * TLB_PTE_BITS;
142
143 subtype tlb_way_t is integer range 0 to TLB_NUM_WAYS - 1;
144 subtype tlb_index_t is integer range 0 to TLB_SET_SIZE - 1;
145 subtype tlb_way_valids_t is std_ulogic_vector(TLB_NUM_WAYS-1 downto 0);
146 type tlb_valids_t is array(tlb_index_t) of tlb_way_valids_t;
147 subtype tlb_tag_t is std_ulogic_vector(TLB_EA_TAG_BITS - 1 downto 0);
148 subtype tlb_way_tags_t is std_ulogic_vector(TLB_TAG_WAY_BITS-1 downto 0);
149 type tlb_tags_t is array(tlb_index_t) of tlb_way_tags_t;
150 subtype tlb_pte_t is std_ulogic_vector(TLB_PTE_BITS - 1 downto 0);
151 subtype tlb_way_ptes_t is std_ulogic_vector(TLB_PTE_WAY_BITS-1 downto 0);
152 type tlb_ptes_t is array(tlb_index_t) of tlb_way_ptes_t;
153 type hit_way_set_t is array(tlb_way_t) of way_t;
154
155 signal dtlb_valids : tlb_valids_t;
156 signal dtlb_tags : tlb_tags_t;
157 signal dtlb_ptes : tlb_ptes_t;
158 attribute ram_style of dtlb_tags : signal is "distributed";
159 attribute ram_style of dtlb_ptes : signal is "distributed";
160
161 -- Record for storing permission, attribute, etc. bits from a PTE
162 type perm_attr_t is record
163 reference : std_ulogic;
164 changed : std_ulogic;
165 nocache : std_ulogic;
166 priv : std_ulogic;
167 rd_perm : std_ulogic;
168 wr_perm : std_ulogic;
169 end record;
170
171 function extract_perm_attr(pte : std_ulogic_vector(TLB_PTE_BITS - 1 downto 0)) return perm_attr_t is
172 variable pa : perm_attr_t;
173 begin
174 pa.reference := pte(8);
175 pa.changed := pte(7);
176 pa.nocache := pte(5);
177 pa.priv := pte(3);
178 pa.rd_perm := pte(2);
179 pa.wr_perm := pte(1);
180 return pa;
181 end;
182
183 constant real_mode_perm_attr : perm_attr_t := (nocache => '0', others => '1');
184
185 -- Type of operation on a "valid" input
186 type op_t is (OP_NONE,
187 OP_BAD, -- NC cache hit, TLB miss, prot/RC failure
188 OP_STCX_FAIL, -- conditional store w/o reservation
189 OP_LOAD_HIT, -- Cache hit on load
190 OP_LOAD_MISS, -- Load missing cache
191 OP_LOAD_NC, -- Non-cachable load
192 OP_STORE_HIT, -- Store hitting cache
193 OP_STORE_MISS); -- Store missing cache
194
195 -- Cache state machine
196 type state_t is (IDLE, -- Normal load hit processing
197 RELOAD_WAIT_ACK, -- Cache reload wait ack
198 STORE_WAIT_ACK, -- Store wait ack
199 NC_LOAD_WAIT_ACK);-- Non-cachable load wait ack
200
201
202 --
203 -- Dcache operations:
204 --
205 -- In order to make timing, we use the BRAMs with an output buffer,
206 -- which means that the BRAM output is delayed by an extra cycle.
207 --
208 -- Thus, the dcache has a 2-stage internal pipeline for cache hits
209 -- with no stalls.
210 --
211 -- All other operations are handled via stalling in the first stage.
212 --
213 -- The second stage can thus complete a hit at the same time as the
214 -- first stage emits a stall for a complex op.
215 --
216
217 -- Stage 0 register, basically contains just the latched request
218 type reg_stage_0_t is record
219 req : Loadstore1ToDcacheType;
220 tlbie : std_ulogic;
221 doall : std_ulogic;
222 tlbld : std_ulogic;
223 mmu_req : std_ulogic; -- indicates source of request
224 end record;
225
226 signal r0 : reg_stage_0_t;
227 signal r0_full : std_ulogic;
228
229 type mem_access_request_t is record
230 op : op_t;
231 valid : std_ulogic;
232 dcbz : std_ulogic;
233 real_addr : std_ulogic_vector(REAL_ADDR_BITS - 1 downto 0);
234 data : std_ulogic_vector(63 downto 0);
235 byte_sel : std_ulogic_vector(7 downto 0);
236 hit_way : way_t;
237 same_tag : std_ulogic;
238 mmu_req : std_ulogic;
239 end record;
240
241 -- First stage register, contains state for stage 1 of load hits
242 -- and for the state machine used by all other operations
243 --
244 type reg_stage_1_t is record
245 -- Info about the request
246 full : std_ulogic; -- have uncompleted request
247 mmu_req : std_ulogic; -- request is from MMU
248 req : mem_access_request_t;
249
250 -- Cache hit state
251 hit_way : way_t;
252 hit_load_valid : std_ulogic;
253 hit_index : index_t;
254 cache_hit : std_ulogic;
255
256 -- TLB hit state
257 tlb_hit : std_ulogic;
258 tlb_hit_way : tlb_way_t;
259 tlb_hit_index : tlb_index_t;
260
261 -- 2-stage data buffer for data forwarded from writes to reads
262 forward_data1 : std_ulogic_vector(63 downto 0);
263 forward_data2 : std_ulogic_vector(63 downto 0);
264 forward_sel1 : std_ulogic_vector(7 downto 0);
265 forward_valid1 : std_ulogic;
266 forward_way1 : way_t;
267 forward_row1 : row_t;
268 use_forward1 : std_ulogic;
269 forward_sel : std_ulogic_vector(7 downto 0);
270
271 -- Cache miss state (reload state machine)
272 state : state_t;
273 dcbz : std_ulogic;
274 write_bram : std_ulogic;
275 write_tag : std_ulogic;
276 slow_valid : std_ulogic;
277 wb : wishbone_master_out;
278 reload_tag : cache_tag_t;
279 store_way : way_t;
280 store_row : row_t;
281 store_index : index_t;
282 end_row_ix : row_in_line_t;
283 rows_valid : row_per_line_valid_t;
284 acks_pending : unsigned(2 downto 0);
285 inc_acks : std_ulogic;
286 dec_acks : std_ulogic;
287
288 -- Signals to complete (possibly with error)
289 ls_valid : std_ulogic;
290 ls_error : std_ulogic;
291 mmu_done : std_ulogic;
292 mmu_error : std_ulogic;
293 cache_paradox : std_ulogic;
294
295 -- Signal to complete a failed stcx.
296 stcx_fail : std_ulogic;
297 end record;
298
299 signal r1 : reg_stage_1_t;
300
301 -- Reservation information
302 --
303 type reservation_t is record
304 valid : std_ulogic;
305 addr : std_ulogic_vector(63 downto LINE_OFF_BITS);
306 end record;
307
308 signal reservation : reservation_t;
309
310 -- Async signals on incoming request
311 signal req_index : index_t;
312 signal req_row : row_t;
313 signal req_hit_way : way_t;
314 signal req_tag : cache_tag_t;
315 signal req_op : op_t;
316 signal req_data : std_ulogic_vector(63 downto 0);
317 signal req_same_tag : std_ulogic;
318 signal req_go : std_ulogic;
319
320 signal early_req_row : row_t;
321
322 signal cancel_store : std_ulogic;
323 signal set_rsrv : std_ulogic;
324 signal clear_rsrv : std_ulogic;
325
326 signal r0_valid : std_ulogic;
327 signal r0_stall : std_ulogic;
328
329 signal use_forward1_next : std_ulogic;
330 signal use_forward2_next : std_ulogic;
331
332 -- Cache RAM interface
333 type cache_ram_out_t is array(way_t) of cache_row_t;
334 signal cache_out : cache_ram_out_t;
335
336 -- PLRU output interface
337 type plru_out_t is array(index_t) of std_ulogic_vector(WAY_BITS-1 downto 0);
338 signal plru_victim : plru_out_t;
339 signal replace_way : way_t;
340
341 -- Wishbone read/write/cache write formatting signals
342 signal bus_sel : std_ulogic_vector(7 downto 0);
343
344 -- TLB signals
345 signal tlb_tag_way : tlb_way_tags_t;
346 signal tlb_pte_way : tlb_way_ptes_t;
347 signal tlb_valid_way : tlb_way_valids_t;
348 signal tlb_req_index : tlb_index_t;
349 signal tlb_hit : std_ulogic;
350 signal tlb_hit_way : tlb_way_t;
351 signal pte : tlb_pte_t;
352 signal ra : std_ulogic_vector(REAL_ADDR_BITS - 1 downto 0);
353 signal valid_ra : std_ulogic;
354 signal perm_attr : perm_attr_t;
355 signal rc_ok : std_ulogic;
356 signal perm_ok : std_ulogic;
357 signal access_ok : std_ulogic;
358
359 -- TLB PLRU output interface
360 type tlb_plru_out_t is array(tlb_index_t) of std_ulogic_vector(TLB_WAY_BITS-1 downto 0);
361 signal tlb_plru_victim : tlb_plru_out_t;
362
363 --
364 -- Helper functions to decode incoming requests
365 --
366
367 -- Return the cache line index (tag index) for an address
368 function get_index(addr: std_ulogic_vector) return index_t is
369 begin
370 return to_integer(unsigned(addr(SET_SIZE_BITS - 1 downto LINE_OFF_BITS)));
371 end;
372
373 -- Return the cache row index (data memory) for an address
374 function get_row(addr: std_ulogic_vector) return row_t is
375 begin
376 return to_integer(unsigned(addr(SET_SIZE_BITS - 1 downto ROW_OFF_BITS)));
377 end;
378
379 -- Return the index of a row within a line
380 function get_row_of_line(row: row_t) return row_in_line_t is
381 variable row_v : unsigned(ROW_BITS-1 downto 0);
382 begin
383 row_v := to_unsigned(row, ROW_BITS);
384 return row_v(ROW_LINEBITS-1 downto 0);
385 end;
386
387 -- Returns whether this is the last row of a line
388 function is_last_row_addr(addr: wishbone_addr_type; last: row_in_line_t) return boolean is
389 begin
390 return unsigned(addr(LINE_OFF_BITS-1 downto ROW_OFF_BITS)) = last;
391 end;
392
393 -- Returns whether this is the last row of a line
394 function is_last_row(row: row_t; last: row_in_line_t) return boolean is
395 begin
396 return get_row_of_line(row) = last;
397 end;
398
399 -- Return the address of the next row in the current cache line
400 function next_row_addr(addr: wishbone_addr_type) return std_ulogic_vector is
401 variable row_idx : std_ulogic_vector(ROW_LINEBITS-1 downto 0);
402 variable result : wishbone_addr_type;
403 begin
404 -- Is there no simpler way in VHDL to generate that 3 bits adder ?
405 row_idx := addr(LINE_OFF_BITS-1 downto ROW_OFF_BITS);
406 row_idx := std_ulogic_vector(unsigned(row_idx) + 1);
407 result := addr;
408 result(LINE_OFF_BITS-1 downto ROW_OFF_BITS) := row_idx;
409 return result;
410 end;
411
412 -- Return the next row in the current cache line. We use a dedicated
413 -- function in order to limit the size of the generated adder to be
414 -- only the bits within a cache line (3 bits with default settings)
415 --
416 function next_row(row: row_t) return row_t is
417 variable row_v : std_ulogic_vector(ROW_BITS-1 downto 0);
418 variable row_idx : std_ulogic_vector(ROW_LINEBITS-1 downto 0);
419 variable result : std_ulogic_vector(ROW_BITS-1 downto 0);
420 begin
421 row_v := std_ulogic_vector(to_unsigned(row, ROW_BITS));
422 row_idx := row_v(ROW_LINEBITS-1 downto 0);
423 row_v(ROW_LINEBITS-1 downto 0) := std_ulogic_vector(unsigned(row_idx) + 1);
424 return to_integer(unsigned(row_v));
425 end;
426
427 -- Get the tag value from the address
428 function get_tag(addr: std_ulogic_vector) return cache_tag_t is
429 begin
430 return addr(REAL_ADDR_BITS - 1 downto SET_SIZE_BITS);
431 end;
432
433 -- Read a tag from a tag memory row
434 function read_tag(way: way_t; tagset: cache_tags_set_t) return cache_tag_t is
435 begin
436 return tagset(way * TAG_WIDTH + TAG_BITS - 1 downto way * TAG_WIDTH);
437 end;
438
439 -- Read a TLB tag from a TLB tag memory row
440 function read_tlb_tag(way: tlb_way_t; tags: tlb_way_tags_t) return tlb_tag_t is
441 variable j : integer;
442 begin
443 j := way * TLB_EA_TAG_BITS;
444 return tags(j + TLB_EA_TAG_BITS - 1 downto j);
445 end;
446
447 -- Write a TLB tag to a TLB tag memory row
448 procedure write_tlb_tag(way: tlb_way_t; tags: inout tlb_way_tags_t;
449 tag: tlb_tag_t) is
450 variable j : integer;
451 begin
452 j := way * TLB_EA_TAG_BITS;
453 tags(j + TLB_EA_TAG_BITS - 1 downto j) := tag;
454 end;
455
456 -- Read a PTE from a TLB PTE memory row
457 function read_tlb_pte(way: tlb_way_t; ptes: tlb_way_ptes_t) return tlb_pte_t is
458 variable j : integer;
459 begin
460 j := way * TLB_PTE_BITS;
461 return ptes(j + TLB_PTE_BITS - 1 downto j);
462 end;
463
464 procedure write_tlb_pte(way: tlb_way_t; ptes: inout tlb_way_ptes_t; newpte: tlb_pte_t) is
465 variable j : integer;
466 begin
467 j := way * TLB_PTE_BITS;
468 ptes(j + TLB_PTE_BITS - 1 downto j) := newpte;
469 end;
470
471 begin
472
473 assert LINE_SIZE mod ROW_SIZE = 0 report "LINE_SIZE not multiple of ROW_SIZE" severity FAILURE;
474 assert ispow2(LINE_SIZE) report "LINE_SIZE not power of 2" severity FAILURE;
475 assert ispow2(NUM_LINES) report "NUM_LINES not power of 2" severity FAILURE;
476 assert ispow2(ROW_PER_LINE) report "ROW_PER_LINE not power of 2" severity FAILURE;
477 assert (ROW_BITS = INDEX_BITS + ROW_LINEBITS)
478 report "geometry bits don't add up" severity FAILURE;
479 assert (LINE_OFF_BITS = ROW_OFF_BITS + ROW_LINEBITS)
480 report "geometry bits don't add up" severity FAILURE;
481 assert (REAL_ADDR_BITS = TAG_BITS + INDEX_BITS + LINE_OFF_BITS)
482 report "geometry bits don't add up" severity FAILURE;
483 assert (REAL_ADDR_BITS = TAG_BITS + ROW_BITS + ROW_OFF_BITS)
484 report "geometry bits don't add up" severity FAILURE;
485 assert (64 = wishbone_data_bits)
486 report "Can't yet handle a wishbone width that isn't 64-bits" severity FAILURE;
487 assert SET_SIZE_BITS <= TLB_LG_PGSZ report "Set indexed by virtual address" severity FAILURE;
488
489 -- Latch the request in r0.req as long as we're not stalling
490 stage_0 : process(clk)
491 variable r : reg_stage_0_t;
492 begin
493 if rising_edge(clk) then
494 assert (d_in.valid and m_in.valid) = '0' report
495 "request collision loadstore vs MMU";
496 if m_in.valid = '1' then
497 r.req.valid := '1';
498 r.req.load := not (m_in.tlbie or m_in.tlbld);
499 r.req.dcbz := '0';
500 r.req.nc := '0';
501 r.req.reserve := '0';
502 r.req.virt_mode := '0';
503 r.req.priv_mode := '1';
504 r.req.addr := m_in.addr;
505 r.req.data := m_in.pte;
506 r.req.byte_sel := (others => '1');
507 r.tlbie := m_in.tlbie;
508 r.doall := m_in.doall;
509 r.tlbld := m_in.tlbld;
510 r.mmu_req := '1';
511 else
512 r.req := d_in;
513 r.tlbie := '0';
514 r.doall := '0';
515 r.tlbld := '0';
516 r.mmu_req := '0';
517 end if;
518 if rst = '1' then
519 r0_full <= '0';
520 elsif r1.full = '0' or r0_full = '0' then
521 r0 <= r;
522 r0_full <= r.req.valid;
523 end if;
524 end if;
525 end process;
526
527 -- we don't yet handle collisions between loadstore1 requests and MMU requests
528 m_out.stall <= '0';
529
530 -- Hold off the request in r0 when r1 has an uncompleted request
531 r0_stall <= r0_full and r1.full;
532 r0_valid <= r0_full and not r1.full;
533 stall_out <= r0_stall;
534
535 -- TLB
536 -- Operates in the second cycle on the request latched in r0.req.
537 -- TLB updates write the entry at the end of the second cycle.
538 tlb_read : process(clk)
539 variable index : tlb_index_t;
540 variable addrbits : std_ulogic_vector(TLB_SET_BITS - 1 downto 0);
541 begin
542 if rising_edge(clk) then
543 if m_in.valid = '1' then
544 addrbits := m_in.addr(TLB_LG_PGSZ + TLB_SET_BITS - 1 downto TLB_LG_PGSZ);
545 else
546 addrbits := d_in.addr(TLB_LG_PGSZ + TLB_SET_BITS - 1 downto TLB_LG_PGSZ);
547 end if;
548 index := to_integer(unsigned(addrbits));
549 -- If we have any op and the previous op isn't finished,
550 -- then keep the same output for next cycle.
551 if r0_stall = '0' then
552 tlb_valid_way <= dtlb_valids(index);
553 tlb_tag_way <= dtlb_tags(index);
554 tlb_pte_way <= dtlb_ptes(index);
555 end if;
556 end if;
557 end process;
558
559 -- Generate TLB PLRUs
560 maybe_tlb_plrus: if TLB_NUM_WAYS > 1 generate
561 begin
562 tlb_plrus: for i in 0 to TLB_SET_SIZE - 1 generate
563 -- TLB PLRU interface
564 signal tlb_plru_acc : std_ulogic_vector(TLB_WAY_BITS-1 downto 0);
565 signal tlb_plru_acc_en : std_ulogic;
566 signal tlb_plru_out : std_ulogic_vector(TLB_WAY_BITS-1 downto 0);
567 begin
568 tlb_plru : entity work.plru
569 generic map (
570 BITS => TLB_WAY_BITS
571 )
572 port map (
573 clk => clk,
574 rst => rst,
575 acc => tlb_plru_acc,
576 acc_en => tlb_plru_acc_en,
577 lru => tlb_plru_out
578 );
579
580 process(all)
581 begin
582 -- PLRU interface
583 if r1.tlb_hit_index = i then
584 tlb_plru_acc_en <= r1.tlb_hit;
585 else
586 tlb_plru_acc_en <= '0';
587 end if;
588 tlb_plru_acc <= std_ulogic_vector(to_unsigned(r1.tlb_hit_way, TLB_WAY_BITS));
589 tlb_plru_victim(i) <= tlb_plru_out;
590 end process;
591 end generate;
592 end generate;
593
594 tlb_search : process(all)
595 variable hitway : tlb_way_t;
596 variable hit : std_ulogic;
597 variable eatag : tlb_tag_t;
598 begin
599 tlb_req_index <= to_integer(unsigned(r0.req.addr(TLB_LG_PGSZ + TLB_SET_BITS - 1
600 downto TLB_LG_PGSZ)));
601 hitway := 0;
602 hit := '0';
603 eatag := r0.req.addr(63 downto TLB_LG_PGSZ + TLB_SET_BITS);
604 for i in tlb_way_t loop
605 if tlb_valid_way(i) = '1' and
606 read_tlb_tag(i, tlb_tag_way) = eatag then
607 hitway := i;
608 hit := '1';
609 end if;
610 end loop;
611 tlb_hit <= hit and r0_valid;
612 tlb_hit_way <= hitway;
613 if tlb_hit = '1' then
614 pte <= read_tlb_pte(hitway, tlb_pte_way);
615 else
616 pte <= (others => '0');
617 end if;
618 valid_ra <= tlb_hit or not r0.req.virt_mode;
619 if r0.req.virt_mode = '1' then
620 ra <= pte(REAL_ADDR_BITS - 1 downto TLB_LG_PGSZ) &
621 r0.req.addr(TLB_LG_PGSZ - 1 downto ROW_OFF_BITS) &
622 (ROW_OFF_BITS-1 downto 0 => '0');
623 perm_attr <= extract_perm_attr(pte);
624 else
625 ra <= r0.req.addr(REAL_ADDR_BITS - 1 downto ROW_OFF_BITS) &
626 (ROW_OFF_BITS-1 downto 0 => '0');
627 perm_attr <= real_mode_perm_attr;
628 end if;
629 end process;
630
631 tlb_update : process(clk)
632 variable tlbie : std_ulogic;
633 variable tlbwe : std_ulogic;
634 variable repl_way : tlb_way_t;
635 variable eatag : tlb_tag_t;
636 variable tagset : tlb_way_tags_t;
637 variable pteset : tlb_way_ptes_t;
638 begin
639 if rising_edge(clk) then
640 tlbie := r0_valid and r0.tlbie;
641 tlbwe := r0_valid and r0.tlbld;
642 if rst = '1' or (tlbie = '1' and r0.doall = '1') then
643 -- clear all valid bits at once
644 for i in tlb_index_t loop
645 dtlb_valids(i) <= (others => '0');
646 end loop;
647 elsif tlbie = '1' then
648 if tlb_hit = '1' then
649 dtlb_valids(tlb_req_index)(tlb_hit_way) <= '0';
650 end if;
651 elsif tlbwe = '1' then
652 if tlb_hit = '1' then
653 repl_way := tlb_hit_way;
654 else
655 repl_way := to_integer(unsigned(tlb_plru_victim(tlb_req_index)));
656 end if;
657 eatag := r0.req.addr(63 downto TLB_LG_PGSZ + TLB_SET_BITS);
658 tagset := tlb_tag_way;
659 write_tlb_tag(repl_way, tagset, eatag);
660 dtlb_tags(tlb_req_index) <= tagset;
661 pteset := tlb_pte_way;
662 write_tlb_pte(repl_way, pteset, r0.req.data);
663 dtlb_ptes(tlb_req_index) <= pteset;
664 dtlb_valids(tlb_req_index)(repl_way) <= '1';
665 end if;
666 end if;
667 end process;
668
669 -- Generate PLRUs
670 maybe_plrus: if NUM_WAYS > 1 generate
671 begin
672 plrus: for i in 0 to NUM_LINES-1 generate
673 -- PLRU interface
674 signal plru_acc : std_ulogic_vector(WAY_BITS-1 downto 0);
675 signal plru_acc_en : std_ulogic;
676 signal plru_out : std_ulogic_vector(WAY_BITS-1 downto 0);
677
678 begin
679 plru : entity work.plru
680 generic map (
681 BITS => WAY_BITS
682 )
683 port map (
684 clk => clk,
685 rst => rst,
686 acc => plru_acc,
687 acc_en => plru_acc_en,
688 lru => plru_out
689 );
690
691 process(all)
692 begin
693 -- PLRU interface
694 if r1.hit_index = i then
695 plru_acc_en <= r1.cache_hit;
696 else
697 plru_acc_en <= '0';
698 end if;
699 plru_acc <= std_ulogic_vector(to_unsigned(r1.hit_way, WAY_BITS));
700 plru_victim(i) <= plru_out;
701 end process;
702 end generate;
703 end generate;
704
705 -- Cache tag RAM read port
706 cache_tag_read : process(clk)
707 variable index : index_t;
708 begin
709 if rising_edge(clk) then
710 if r0_stall = '1' then
711 index := req_index;
712 elsif m_in.valid = '1' then
713 index := get_index(m_in.addr);
714 else
715 index := get_index(d_in.addr);
716 end if;
717 cache_tag_set <= cache_tags(index);
718 end if;
719 end process;
720
721 -- Cache request parsing and hit detection
722 dcache_request : process(all)
723 variable is_hit : std_ulogic;
724 variable hit_way : way_t;
725 variable op : op_t;
726 variable opsel : std_ulogic_vector(2 downto 0);
727 variable go : std_ulogic;
728 variable nc : std_ulogic;
729 variable s_hit : std_ulogic;
730 variable s_tag : cache_tag_t;
731 variable s_pte : tlb_pte_t;
732 variable s_ra : std_ulogic_vector(REAL_ADDR_BITS - 1 downto 0);
733 variable hit_set : std_ulogic_vector(TLB_NUM_WAYS - 1 downto 0);
734 variable hit_way_set : hit_way_set_t;
735 variable rel_matches : std_ulogic_vector(TLB_NUM_WAYS - 1 downto 0);
736 variable rel_match : std_ulogic;
737 begin
738 -- Extract line, row and tag from request
739 req_index <= get_index(r0.req.addr);
740 req_row <= get_row(r0.req.addr);
741 req_tag <= get_tag(ra);
742
743 go := r0_valid and not (r0.tlbie or r0.tlbld) and not r1.ls_error;
744
745 -- Test if pending request is a hit on any way
746 -- In order to make timing in virtual mode, when we are using the TLB,
747 -- we compare each way with each of the real addresses from each way of
748 -- the TLB, and then decide later which match to use.
749 hit_way := 0;
750 is_hit := '0';
751 rel_match := '0';
752 if r0.req.virt_mode = '1' then
753 rel_matches := (others => '0');
754 for j in tlb_way_t loop
755 hit_way_set(j) := 0;
756 s_hit := '0';
757 s_pte := read_tlb_pte(j, tlb_pte_way);
758 s_ra := s_pte(REAL_ADDR_BITS - 1 downto TLB_LG_PGSZ) &
759 r0.req.addr(TLB_LG_PGSZ - 1 downto 0);
760 s_tag := get_tag(s_ra);
761 for i in way_t loop
762 if go = '1' and cache_valids(req_index)(i) = '1' and
763 read_tag(i, cache_tag_set) = s_tag and
764 tlb_valid_way(j) = '1' then
765 hit_way_set(j) := i;
766 s_hit := '1';
767 end if;
768 end loop;
769 hit_set(j) := s_hit;
770 if s_tag = r1.reload_tag then
771 rel_matches(j) := '1';
772 end if;
773 end loop;
774 if tlb_hit = '1' then
775 is_hit := hit_set(tlb_hit_way);
776 hit_way := hit_way_set(tlb_hit_way);
777 rel_match := rel_matches(tlb_hit_way);
778 end if;
779 else
780 s_tag := get_tag(r0.req.addr);
781 for i in way_t loop
782 if go = '1' and cache_valids(req_index)(i) = '1' and
783 read_tag(i, cache_tag_set) = s_tag then
784 hit_way := i;
785 is_hit := '1';
786 end if;
787 end loop;
788 if s_tag = r1.reload_tag then
789 rel_match := '1';
790 end if;
791 end if;
792 req_same_tag <= rel_match;
793
794 -- See if the request matches the line currently being reloaded
795 if r1.state = RELOAD_WAIT_ACK and req_index = r1.store_index and
796 rel_match = '1' then
797 -- For a store, consider this a hit even if the row isn't valid
798 -- since it will be by the time we perform the store.
799 -- For a load, check the appropriate row valid bit.
800 is_hit := not r0.req.load or r1.rows_valid(req_row mod ROW_PER_LINE);
801 hit_way := replace_way;
802 end if;
803
804 -- Whether to use forwarded data for a load or not
805 use_forward1_next <= '0';
806 if get_row(r1.req.real_addr) = req_row and r1.req.hit_way = hit_way then
807 -- Only need to consider r1.write_bram here, since if we are
808 -- writing refill data here, then we don't have a cache hit this
809 -- cycle on the line being refilled. (There is the possibility
810 -- that the load following the load miss that started the refill
811 -- could be to the old contents of the victim line, since it is a
812 -- couple of cycles after the refill starts before we see the
813 -- updated cache tag. In that case we don't use the bypass.)
814 use_forward1_next <= r1.write_bram;
815 end if;
816 use_forward2_next <= '0';
817 if r1.forward_row1 = req_row and r1.forward_way1 = hit_way then
818 use_forward2_next <= r1.forward_valid1;
819 end if;
820
821 -- The way that matched on a hit
822 req_hit_way <= hit_way;
823
824 -- The way to replace on a miss
825 if r1.write_tag = '1' then
826 replace_way <= to_integer(unsigned(plru_victim(r1.store_index)));
827 else
828 replace_way <= r1.store_way;
829 end if;
830
831 -- work out whether we have permission for this access
832 -- NB we don't yet implement AMR, thus no KUAP
833 rc_ok <= perm_attr.reference and (r0.req.load or perm_attr.changed);
834 perm_ok <= (r0.req.priv_mode or not perm_attr.priv) and
835 (perm_attr.wr_perm or (r0.req.load and perm_attr.rd_perm));
836 access_ok <= valid_ra and perm_ok and rc_ok;
837
838 -- Combine the request and cache hit status to decide what
839 -- operation needs to be done
840 --
841 nc := r0.req.nc or perm_attr.nocache;
842 op := OP_NONE;
843 if go = '1' then
844 if access_ok = '0' then
845 op := OP_BAD;
846 elsif cancel_store = '1' then
847 op := OP_STCX_FAIL;
848 else
849 opsel := r0.req.load & nc & is_hit;
850 case opsel is
851 when "101" => op := OP_LOAD_HIT;
852 when "100" => op := OP_LOAD_MISS;
853 when "110" => op := OP_LOAD_NC;
854 when "001" => op := OP_STORE_HIT;
855 when "000" => op := OP_STORE_MISS;
856 when "010" => op := OP_STORE_MISS;
857 when "011" => op := OP_BAD;
858 when "111" => op := OP_BAD;
859 when others => op := OP_NONE;
860 end case;
861 end if;
862 end if;
863 req_op <= op;
864 req_go <= go;
865
866 -- Version of the row number that is valid one cycle earlier
867 -- in the cases where we need to read the cache data BRAM.
868 -- If we're stalling then we need to keep reading the last
869 -- row requested.
870 if r0_stall = '0' then
871 if m_in.valid = '1' then
872 early_req_row <= get_row(m_in.addr);
873 else
874 early_req_row <= get_row(d_in.addr);
875 end if;
876 else
877 early_req_row <= req_row;
878 end if;
879 end process;
880
881 -- Wire up wishbone request latch out of stage 1
882 wishbone_out <= r1.wb;
883
884 -- Handle load-with-reservation and store-conditional instructions
885 reservation_comb: process(all)
886 begin
887 cancel_store <= '0';
888 set_rsrv <= '0';
889 clear_rsrv <= '0';
890 if r0_valid = '1' and r0.req.reserve = '1' then
891 -- XXX generate alignment interrupt if address is not aligned
892 -- XXX or if r0.req.nc = '1'
893 if r0.req.load = '1' then
894 -- load with reservation
895 set_rsrv <= '1';
896 else
897 -- store conditional
898 clear_rsrv <= '1';
899 if reservation.valid = '0' or
900 r0.req.addr(63 downto LINE_OFF_BITS) /= reservation.addr then
901 cancel_store <= '1';
902 end if;
903 end if;
904 end if;
905 end process;
906
907 reservation_reg: process(clk)
908 begin
909 if rising_edge(clk) then
910 if rst = '1' then
911 reservation.valid <= '0';
912 elsif r0_valid = '1' and access_ok = '1' then
913 if clear_rsrv = '1' then
914 reservation.valid <= '0';
915 elsif set_rsrv = '1' then
916 reservation.valid <= '1';
917 reservation.addr <= r0.req.addr(63 downto LINE_OFF_BITS);
918 end if;
919 end if;
920 end if;
921 end process;
922
923 -- Return data for loads & completion control logic
924 --
925 writeback_control: process(all)
926 variable data_out : std_ulogic_vector(63 downto 0);
927 variable data_fwd : std_ulogic_vector(63 downto 0);
928 variable j : integer;
929 begin
930 -- Use the bypass if are reading the row that was written 1 or 2 cycles
931 -- ago, including for the slow_valid = 1 case (i.e. completing a load
932 -- miss or a non-cacheable load).
933 if r1.use_forward1 = '1' then
934 data_fwd := r1.forward_data1;
935 else
936 data_fwd := r1.forward_data2;
937 end if;
938 data_out := cache_out(r1.hit_way);
939 for i in 0 to 7 loop
940 j := i * 8;
941 if r1.forward_sel(i) = '1' then
942 data_out(j + 7 downto j) := data_fwd(j + 7 downto j);
943 end if;
944 end loop;
945
946 d_out.valid <= r1.ls_valid;
947 d_out.data <= data_out;
948 d_out.store_done <= not r1.stcx_fail;
949 d_out.error <= r1.ls_error;
950 d_out.cache_paradox <= r1.cache_paradox;
951
952 -- Outputs to MMU
953 m_out.done <= r1.mmu_done;
954 m_out.err <= r1.mmu_error;
955 m_out.data <= data_out;
956
957 -- We have a valid load or store hit or we just completed a slow
958 -- op such as a load miss, a NC load or a store
959 --
960 -- Note: the load hit is delayed by one cycle. However it can still
961 -- not collide with r.slow_valid (well unless I miscalculated) because
962 -- slow_valid can only be set on a subsequent request and not on its
963 -- first cycle (the state machine must have advanced), which makes
964 -- slow_valid at least 2 cycles from the previous hit_load_valid.
965 --
966
967 -- Sanity: Only one of these must be set in any given cycle
968 assert (r1.slow_valid and r1.stcx_fail) /= '1' report
969 "unexpected slow_valid collision with stcx_fail"
970 severity FAILURE;
971 assert ((r1.slow_valid or r1.stcx_fail) and r1.hit_load_valid) /= '1' report
972 "unexpected hit_load_delayed collision with slow_valid"
973 severity FAILURE;
974
975 if r1.mmu_req = '0' then
976 -- Request came from loadstore1...
977 -- Load hit case is the standard path
978 if r1.hit_load_valid = '1' then
979 report "completing load hit data=" & to_hstring(data_out);
980 end if;
981
982 -- error cases complete without stalling
983 if r1.ls_error = '1' then
984 report "completing ld/st with error";
985 end if;
986
987 -- Slow ops (load miss, NC, stores)
988 if r1.slow_valid = '1' then
989 report "completing store or load miss data=" & to_hstring(data_out);
990 end if;
991
992 else
993 -- Request came from MMU
994 if r1.hit_load_valid = '1' then
995 report "completing load hit to MMU, data=" & to_hstring(m_out.data);
996 end if;
997
998 -- error cases complete without stalling
999 if r1.mmu_error = '1' then
1000 report "completing MMU ld with error";
1001 end if;
1002
1003 -- Slow ops (i.e. load miss)
1004 if r1.slow_valid = '1' then
1005 report "completing MMU load miss, data=" & to_hstring(m_out.data);
1006 end if;
1007 end if;
1008
1009 end process;
1010
1011 --
1012 -- Generate a cache RAM for each way. This handles the normal
1013 -- reads, writes from reloads and the special store-hit update
1014 -- path as well.
1015 --
1016 -- Note: the BRAMs have an extra read buffer, meaning the output
1017 -- is pipelined an extra cycle. This differs from the
1018 -- icache. The writeback logic needs to take that into
1019 -- account by using 1-cycle delayed signals for load hits.
1020 --
1021 rams: for i in 0 to NUM_WAYS-1 generate
1022 signal do_read : std_ulogic;
1023 signal rd_addr : std_ulogic_vector(ROW_BITS-1 downto 0);
1024 signal do_write : std_ulogic;
1025 signal wr_addr : std_ulogic_vector(ROW_BITS-1 downto 0);
1026 signal wr_data : std_ulogic_vector(wishbone_data_bits-1 downto 0);
1027 signal wr_sel : std_ulogic_vector(ROW_SIZE-1 downto 0);
1028 signal wr_sel_m : std_ulogic_vector(ROW_SIZE-1 downto 0);
1029 signal dout : cache_row_t;
1030 begin
1031 way: entity work.cache_ram
1032 generic map (
1033 ROW_BITS => ROW_BITS,
1034 WIDTH => wishbone_data_bits,
1035 ADD_BUF => true
1036 )
1037 port map (
1038 clk => clk,
1039 rd_en => do_read,
1040 rd_addr => rd_addr,
1041 rd_data => dout,
1042 wr_sel => wr_sel_m,
1043 wr_addr => wr_addr,
1044 wr_data => wr_data
1045 );
1046 process(all)
1047 begin
1048 -- Cache hit reads
1049 do_read <= '1';
1050 rd_addr <= std_ulogic_vector(to_unsigned(early_req_row, ROW_BITS));
1051 cache_out(i) <= dout;
1052
1053 -- Write mux:
1054 --
1055 -- Defaults to wishbone read responses (cache refill),
1056 --
1057 -- For timing, the mux on wr_data/sel/addr is not dependent on anything
1058 -- other than the current state.
1059 --
1060 wr_sel_m <= (others => '0');
1061
1062 do_write <= '0';
1063 if r1.write_bram = '1' then
1064 -- Write store data to BRAM. This happens one cycle after the
1065 -- store is in r0.
1066 wr_data <= r1.req.data;
1067 wr_sel <= r1.req.byte_sel;
1068 wr_addr <= std_ulogic_vector(to_unsigned(get_row(r1.req.real_addr), ROW_BITS));
1069 if i = r1.req.hit_way then
1070 do_write <= '1';
1071 end if;
1072 else
1073 -- Otherwise, we might be doing a reload or a DCBZ
1074 if r1.dcbz = '1' then
1075 wr_data <= (others => '0');
1076 else
1077 wr_data <= wishbone_in.dat;
1078 end if;
1079 wr_addr <= std_ulogic_vector(to_unsigned(r1.store_row, ROW_BITS));
1080 wr_sel <= (others => '1');
1081
1082 if r1.state = RELOAD_WAIT_ACK and wishbone_in.ack = '1' and replace_way = i then
1083 do_write <= '1';
1084 end if;
1085 end if;
1086
1087 -- Mask write selects with do_write since BRAM doesn't
1088 -- have a global write-enable
1089 if do_write = '1' then
1090 wr_sel_m <= wr_sel;
1091 end if;
1092
1093 end process;
1094 end generate;
1095
1096 --
1097 -- Cache hit synchronous machine for the easy case. This handles load hits.
1098 -- It also handles error cases (TLB miss, cache paradox)
1099 --
1100 dcache_fast_hit : process(clk)
1101 begin
1102 if rising_edge(clk) then
1103 if req_op /= OP_NONE then
1104 report "op:" & op_t'image(req_op) &
1105 " addr:" & to_hstring(r0.req.addr) &
1106 " nc:" & std_ulogic'image(r0.req.nc) &
1107 " idx:" & integer'image(req_index) &
1108 " tag:" & to_hstring(req_tag) &
1109 " way: " & integer'image(req_hit_way);
1110 end if;
1111 if r0_valid = '1' then
1112 r1.mmu_req <= r0.mmu_req;
1113 end if;
1114
1115 -- Fast path for load/store hits. Set signals for the writeback controls.
1116 r1.hit_way <= req_hit_way;
1117 r1.hit_index <= req_index;
1118 if req_op = OP_LOAD_HIT then
1119 r1.hit_load_valid <= '1';
1120 else
1121 r1.hit_load_valid <= '0';
1122 end if;
1123 if req_op = OP_LOAD_HIT or req_op = OP_STORE_HIT then
1124 r1.cache_hit <= '1';
1125 else
1126 r1.cache_hit <= '0';
1127 end if;
1128
1129 if req_op = OP_BAD then
1130 report "Signalling ld/st error valid_ra=" & std_ulogic'image(valid_ra) &
1131 " rc_ok=" & std_ulogic'image(rc_ok) & " perm_ok=" & std_ulogic'image(perm_ok);
1132 r1.ls_error <= not r0.mmu_req;
1133 r1.mmu_error <= r0.mmu_req;
1134 r1.cache_paradox <= access_ok;
1135 else
1136 r1.ls_error <= '0';
1137 r1.mmu_error <= '0';
1138 r1.cache_paradox <= '0';
1139 end if;
1140
1141 if req_op = OP_STCX_FAIL then
1142 r1.stcx_fail <= '1';
1143 else
1144 r1.stcx_fail <= '0';
1145 end if;
1146
1147 -- Record TLB hit information for updating TLB PLRU
1148 r1.tlb_hit <= tlb_hit;
1149 r1.tlb_hit_way <= tlb_hit_way;
1150 r1.tlb_hit_index <= tlb_req_index;
1151
1152 end if;
1153 end process;
1154
1155 --
1156 -- Memory accesses are handled by this state machine:
1157 --
1158 -- * Cache load miss/reload (in conjunction with "rams")
1159 -- * Load hits for non-cachable forms
1160 -- * Stores (the collision case is handled in "rams")
1161 --
1162 -- All wishbone requests generation is done here. This machine
1163 -- operates at stage 1.
1164 --
1165 dcache_slow : process(clk)
1166 variable stbs_done : boolean;
1167 variable req : mem_access_request_t;
1168 variable acks : unsigned(2 downto 0);
1169 begin
1170 if rising_edge(clk) then
1171 r1.use_forward1 <= use_forward1_next;
1172 r1.forward_sel <= (others => '0');
1173 if use_forward1_next = '1' then
1174 r1.forward_sel <= r1.req.byte_sel;
1175 elsif use_forward2_next = '1' then
1176 r1.forward_sel <= r1.forward_sel1;
1177 end if;
1178
1179 r1.forward_data2 <= r1.forward_data1;
1180 if r1.write_bram = '1' then
1181 r1.forward_data1 <= r1.req.data;
1182 r1.forward_sel1 <= r1.req.byte_sel;
1183 r1.forward_way1 <= r1.req.hit_way;
1184 r1.forward_row1 <= get_row(r1.req.real_addr);
1185 r1.forward_valid1 <= '1';
1186 else
1187 if r1.dcbz = '1' then
1188 r1.forward_data1 <= (others => '0');
1189 else
1190 r1.forward_data1 <= wishbone_in.dat;
1191 end if;
1192 r1.forward_sel1 <= (others => '1');
1193 r1.forward_way1 <= replace_way;
1194 r1.forward_row1 <= r1.store_row;
1195 r1.forward_valid1 <= '0';
1196 end if;
1197
1198 -- On reset, clear all valid bits to force misses
1199 if rst = '1' then
1200 for i in index_t loop
1201 cache_valids(i) <= (others => '0');
1202 end loop;
1203 r1.state <= IDLE;
1204 r1.full <= '0';
1205 r1.slow_valid <= '0';
1206 r1.wb.cyc <= '0';
1207 r1.wb.stb <= '0';
1208 r1.ls_valid <= '0';
1209 r1.mmu_done <= '0';
1210
1211 -- Not useful normally but helps avoiding tons of sim warnings
1212 r1.wb.adr <= (others => '0');
1213 else
1214 -- One cycle pulses reset
1215 r1.slow_valid <= '0';
1216 r1.write_bram <= '0';
1217 r1.inc_acks <= '0';
1218 r1.dec_acks <= '0';
1219
1220 r1.ls_valid <= '0';
1221 -- complete tlbies and TLB loads in the third cycle
1222 r1.mmu_done <= r0_valid and (r0.tlbie or r0.tlbld);
1223 if req_op = OP_LOAD_HIT or req_op = OP_STCX_FAIL then
1224 if r0.mmu_req = '0' then
1225 r1.ls_valid <= '1';
1226 else
1227 r1.mmu_done <= '1';
1228 end if;
1229 end if;
1230
1231 if r1.write_tag = '1' then
1232 -- Store new tag in selected way
1233 for i in 0 to NUM_WAYS-1 loop
1234 if i = replace_way then
1235 cache_tags(r1.store_index)((i + 1) * TAG_WIDTH - 1 downto i * TAG_WIDTH) <=
1236 (TAG_WIDTH - 1 downto TAG_BITS => '0') & r1.reload_tag;
1237 end if;
1238 end loop;
1239 r1.store_way <= replace_way;
1240 r1.write_tag <= '0';
1241 end if;
1242
1243 -- Take request from r1.req if there is one there,
1244 -- else from req_op, ra, etc.
1245 if r1.full = '1' then
1246 req := r1.req;
1247 else
1248 req.op := req_op;
1249 req.valid := req_go;
1250 req.mmu_req := r0.mmu_req;
1251 req.dcbz := r0.req.dcbz;
1252 req.real_addr := ra;
1253 -- Force data to 0 for dcbz
1254 if r0.req.dcbz = '0' then
1255 req.data := r0.req.data;
1256 else
1257 req.data := (others => '0');
1258 end if;
1259 -- Select all bytes for dcbz and for cacheable loads
1260 if r0.req.dcbz = '1' or (r0.req.load = '1' and r0.req.nc = '0') then
1261 req.byte_sel := (others => '1');
1262 else
1263 req.byte_sel := r0.req.byte_sel;
1264 end if;
1265 req.hit_way := req_hit_way;
1266 req.same_tag := req_same_tag;
1267
1268 -- Store the incoming request from r0, if it is a slow request
1269 -- Note that r1.full = 1 implies req_op = OP_NONE
1270 if req_op = OP_LOAD_MISS or req_op = OP_LOAD_NC or
1271 req_op = OP_STORE_MISS or req_op = OP_STORE_HIT then
1272 r1.req <= req;
1273 r1.full <= '1';
1274 end if;
1275 end if;
1276
1277 -- Main state machine
1278 case r1.state is
1279 when IDLE =>
1280 r1.wb.adr <= req.real_addr(r1.wb.adr'left downto 0);
1281 r1.wb.sel <= req.byte_sel;
1282 r1.wb.dat <= req.data;
1283 r1.dcbz <= req.dcbz;
1284
1285 -- Keep track of our index and way for subsequent stores.
1286 r1.store_index <= get_index(req.real_addr);
1287 r1.store_row <= get_row(req.real_addr);
1288 r1.end_row_ix <= get_row_of_line(get_row(req.real_addr)) - 1;
1289 r1.reload_tag <= get_tag(req.real_addr);
1290 r1.req.same_tag <= '1';
1291
1292 if req.op = OP_STORE_HIT then
1293 r1.store_way <= req.hit_way;
1294 end if;
1295
1296 -- Reset per-row valid bits, ready for handling OP_LOAD_MISS
1297 for i in 0 to ROW_PER_LINE - 1 loop
1298 r1.rows_valid(i) <= '0';
1299 end loop;
1300
1301 case req.op is
1302 when OP_LOAD_HIT =>
1303 -- stay in IDLE state
1304
1305 when OP_LOAD_MISS =>
1306 -- Normal load cache miss, start the reload machine
1307 --
1308 report "cache miss real addr:" & to_hstring(req.real_addr) &
1309 " idx:" & integer'image(get_index(req.real_addr)) &
1310 " tag:" & to_hstring(get_tag(req.real_addr));
1311
1312 -- Start the wishbone cycle
1313 r1.wb.we <= '0';
1314 r1.wb.cyc <= '1';
1315 r1.wb.stb <= '1';
1316
1317 -- Track that we had one request sent
1318 r1.state <= RELOAD_WAIT_ACK;
1319 r1.write_tag <= '1';
1320
1321 when OP_LOAD_NC =>
1322 r1.wb.cyc <= '1';
1323 r1.wb.stb <= '1';
1324 r1.wb.we <= '0';
1325 r1.state <= NC_LOAD_WAIT_ACK;
1326
1327 when OP_STORE_HIT | OP_STORE_MISS =>
1328 if req.dcbz = '0' then
1329 r1.state <= STORE_WAIT_ACK;
1330 r1.acks_pending <= to_unsigned(1, 3);
1331 r1.full <= '0';
1332 r1.slow_valid <= '1';
1333 if req.mmu_req = '0' then
1334 r1.ls_valid <= '1';
1335 else
1336 r1.mmu_done <= '1';
1337 end if;
1338 if req.op = OP_STORE_HIT then
1339 r1.write_bram <= '1';
1340 end if;
1341 else
1342 -- dcbz is handled much like a load miss except
1343 -- that we are writing to memory instead of reading
1344 r1.state <= RELOAD_WAIT_ACK;
1345 if req.op = OP_STORE_MISS then
1346 r1.write_tag <= '1';
1347 end if;
1348 end if;
1349 r1.wb.we <= '1';
1350 r1.wb.cyc <= '1';
1351 r1.wb.stb <= '1';
1352
1353 -- OP_NONE and OP_BAD do nothing
1354 -- OP_BAD & OP_STCX_FAIL were handled above already
1355 when OP_NONE =>
1356 when OP_BAD =>
1357 when OP_STCX_FAIL =>
1358 end case;
1359
1360 when RELOAD_WAIT_ACK =>
1361 -- Requests are all sent if stb is 0
1362 stbs_done := r1.wb.stb = '0';
1363
1364 -- If we are still sending requests, was one accepted ?
1365 if wishbone_in.stall = '0' and not stbs_done then
1366 -- That was the last word ? We are done sending. Clear
1367 -- stb and set stbs_done so we can handle an eventual last
1368 -- ack on the same cycle.
1369 --
1370 if is_last_row_addr(r1.wb.adr, r1.end_row_ix) then
1371 r1.wb.stb <= '0';
1372 stbs_done := true;
1373 end if;
1374
1375 -- Calculate the next row address
1376 r1.wb.adr <= next_row_addr(r1.wb.adr);
1377 end if;
1378
1379 -- Incoming acks processing
1380 r1.forward_valid1 <= wishbone_in.ack;
1381 if wishbone_in.ack = '1' then
1382 r1.rows_valid(r1.store_row mod ROW_PER_LINE) <= '1';
1383 -- If this is the data we were looking for, we can
1384 -- complete the request next cycle.
1385 -- Compare the whole address in case the request in
1386 -- r1.req is not the one that started this refill.
1387 if r1.full = '1' and r1.req.same_tag = '1' and
1388 ((r1.dcbz = '1' and r1.req.dcbz = '1') or
1389 (r1.dcbz = '0' and r1.req.op = OP_LOAD_MISS)) and
1390 r1.store_row = get_row(r1.req.real_addr) then
1391 r1.full <= '0';
1392 r1.slow_valid <= '1';
1393 if r1.mmu_req = '0' then
1394 r1.ls_valid <= '1';
1395 else
1396 r1.mmu_done <= '1';
1397 end if;
1398 r1.forward_sel <= (others => '1');
1399 r1.use_forward1 <= '1';
1400 end if;
1401
1402 -- Check for completion
1403 if stbs_done and is_last_row(r1.store_row, r1.end_row_ix) then
1404 -- Complete wishbone cycle
1405 r1.wb.cyc <= '0';
1406
1407 -- Cache line is now valid
1408 cache_valids(r1.store_index)(r1.store_way) <= '1';
1409
1410 r1.state <= IDLE;
1411 end if;
1412
1413 -- Increment store row counter
1414 r1.store_row <= next_row(r1.store_row);
1415 end if;
1416
1417 when STORE_WAIT_ACK =>
1418 stbs_done := r1.wb.stb = '0';
1419 acks := r1.acks_pending;
1420 if r1.inc_acks /= r1.dec_acks then
1421 if r1.inc_acks = '1' then
1422 acks := acks + 1;
1423 else
1424 acks := acks - 1;
1425 end if;
1426 end if;
1427 r1.acks_pending <= acks;
1428 -- Clear stb when slave accepted request
1429 if wishbone_in.stall = '0' then
1430 -- See if there is another store waiting to be done
1431 -- which is in the same real page.
1432 if req.valid = '1' then
1433 r1.wb.adr(SET_SIZE_BITS - 1 downto 0) <=
1434 req.real_addr(SET_SIZE_BITS - 1 downto 0);
1435 r1.wb.dat <= req.data;
1436 r1.wb.sel <= req.byte_sel;
1437 end if;
1438 if acks < 7 and req.same_tag = '1' and
1439 (req.op = OP_STORE_MISS or req.op = OP_STORE_HIT) then
1440 r1.wb.stb <= '1';
1441 stbs_done := false;
1442 if req.op = OP_STORE_HIT then
1443 r1.write_bram <= '1';
1444 end if;
1445 r1.full <= '0';
1446 r1.slow_valid <= '1';
1447 -- Store requests never come from the MMU
1448 r1.ls_valid <= '1';
1449 stbs_done := false;
1450 r1.inc_acks <= '1';
1451 else
1452 r1.wb.stb <= '0';
1453 stbs_done := true;
1454 end if;
1455 end if;
1456
1457 -- Got ack ? See if complete.
1458 if wishbone_in.ack = '1' then
1459 if stbs_done and acks = 1 then
1460 r1.state <= IDLE;
1461 r1.wb.cyc <= '0';
1462 r1.wb.stb <= '0';
1463 end if;
1464 r1.dec_acks <= '1';
1465 end if;
1466
1467 when NC_LOAD_WAIT_ACK =>
1468 -- Clear stb when slave accepted request
1469 if wishbone_in.stall = '0' then
1470 r1.wb.stb <= '0';
1471 end if;
1472
1473 -- Got ack ? complete.
1474 if wishbone_in.ack = '1' then
1475 r1.state <= IDLE;
1476 r1.full <= '0';
1477 r1.slow_valid <= '1';
1478 if r1.mmu_req = '0' then
1479 r1.ls_valid <= '1';
1480 else
1481 r1.mmu_done <= '1';
1482 end if;
1483 r1.forward_sel <= (others => '1');
1484 r1.use_forward1 <= '1';
1485 r1.wb.cyc <= '0';
1486 r1.wb.stb <= '0';
1487 end if;
1488 end case;
1489 end if;
1490 end if;
1491 end process;
1492
1493 dc_log: if LOG_LENGTH > 0 generate
1494 signal log_data : std_ulogic_vector(19 downto 0);
1495 begin
1496 dcache_log: process(clk)
1497 begin
1498 if rising_edge(clk) then
1499 log_data <= r1.wb.adr(5 downto 3) &
1500 wishbone_in.stall &
1501 wishbone_in.ack &
1502 r1.wb.stb & r1.wb.cyc &
1503 d_out.error &
1504 d_out.valid &
1505 std_ulogic_vector(to_unsigned(op_t'pos(req_op), 3)) &
1506 stall_out &
1507 std_ulogic_vector(to_unsigned(tlb_hit_way, 3)) &
1508 valid_ra &
1509 std_ulogic_vector(to_unsigned(state_t'pos(r1.state), 3));
1510 end if;
1511 end process;
1512 log_out <= log_data;
1513 end generate;
1514 end;