Initial version of donated sources by Avertec, 3.4p5.
[tas-yagle.git] / distrib / docxml2 / text / common / configuration / cnf_disa_fcl.xml
1 <glossary>
2
3 <row type='split'><article><index>yagUseGenius</index></article><def>
4 <glossary width='small'>
5 <row><article><f>yes</f></article><def>Extends the simple pattern recognition of FCL to allow the recognition of
6 hierarchically defined structures of generic size.</def></row>
7 <row><article><f>no</f></article><def>Default</def></row>
8 </glossary>
9 </def></row>
10
11 <row type='split'><article><index>yagUseOnlyGenius</index></article><def>
12 <glossary width='small'>
13 <row><article><f>yes</f></article><def>Same as <f>yagUseGenius</f> but &tool; stops the execution after the
14 hierarchical pattern recognition phase.</def></row>
15 <row><article><f>no</f></article><def>Default</def></row>
16 </glossary>
17 </def></row>
18 </glossary>