Initial version of donated sources by Avertec, 3.4p5.
[tas-yagle.git] / distrib / docxml2 / text / doc / yagle_reference / introduction.xml
1 <?xml version="1.0" encoding="ISO-8859-1" ?>
2 <intro_title>About this Document</intro_title>
3 <intro>This document explains:<list>
4 <item>The input formats supported</item>
5 <item>How to perform the functional abstraction</item>
6 <item>The VHDL generated</item>
7 <item>User constraints</item>
8 <item>Pattern recognition for analog blocks</item>
9 <item>The Primary Data Structure</item>
10 </list>
11 </intro>