Initial version of donated sources by Avertec, 3.4p5.
[tas-yagle.git] / distrib / share / etc / ReleaseNotes3.1
1 ###############################################################################
2 # AvtTools version 3.1p6 Release Notes
3 # Copyright (c) 1998-2007, AVERTEC All Rights Reserved
4 # Sep 3rd, 2007
5 #
6 ###############################################################################
7 # 2 - Bug fixes
8 - Bug concerning the usage "tasUseFinalCapacitance" introduced in 3.1p5 fixed.
9
10 ###############################################################################
11 # AvtTools version 3.1p5 Release Notes
12 # Copyright (c) 1998-2007, AVERTEC All Rights Reserved
13 # Aug 24th, 2007
14 #
15 ###############################################################################
16
17 # 1 - New functionalities
18 - Standard header information automatically added at start of all reports.
19 - User can specify a .avt_shellrc file in home directory which is run
20 automatically at the start of an avt_shell script.
21 - New setting "tasPathCapacitanceDepth" which, is set to a non-zero values
22 controls across how many transistor the out of path capacitance should
23 be counted.
24 - Spicedeck generation can now handle certain types of timing loop.
25 - New setting "cpePrechargedMemsym" which tells HiTAS that symmetric memory
26 nodes should be considered to have been precharged for the generation of
27 Spicedeck stimuli for memory read.
28 - File compression can now be selectively disabled using the setting
29 "avtDisableCompression" which is a space separated list of filenames.
30 - Spicedeck generation can now identify the conditions for writing to
31 a symmetric memory.
32
33 # 2 - Bug fixes
34 - Fixed discrepancy with Spice where HiTAS used GEOMOD to calculate diffusion
35 size when these parameters are set to zero in a netlist.
36 - Fixed discrepancy with Spice where HiTAS could use a model inside a subckt
37 to characterize a transistor even if the transistor was not described as an
38 instance.
39 - Device names containing only Spice device card are now accepted by HiTAS.
40 - Fixed potential fatal error during crosstalk analysis of circuits without
41 any clock.
42 - Fixed potential undefined behaviour when calculating the slope for a very
43 large resistance.
44
45 ###############################################################################
46 # AvtTools version 3.1p4 Release Notes
47 # Copyright (c) 1998-2007, AVERTEC All Rights Reserved
48 # Aug 2nd, 2007
49 #
50 ###############################################################################
51
52 # 1 - New functionalities
53 - New TCL function "inf_DefineStrictSetup" to specify a strict verification
54 mode for a given latch. Setup is check with respect to the opening clock
55 edge and hold with respect to the closing edge.
56 - New TCL function "sim_ReadMeasure" which allows extraction of a delay
57 or slope measurement from the results file of a spice simulation.
58 - The setting "yagSetResetDetection" has a new option "remove" which will
59 disable all set/reset inputs for all latches except RS bistables.
60 - New TCL function inf_DefineMemsym which sets a pair of signals to be a
61 symmetric memory so long as there is a loop between the two signals.
62 - Enhanced modeling of overshoot discharge in conflictual current paths.
63 - Enhanced modeling of gate delay and slope when driving huge RC networks.
64
65 # 2 - Bug fixes
66 - Fixed fatal error in CPE when extracting Spicedeck of unusual symmetric
67 memory configurations.
68 - V cards on internal connectors of a hierarchical netlist now handled
69 in HiTAS hierarchical mode.
70 - intelligent handling of XOR structures at a circuit interface.
71
72 # 3 - Configuration Changes
73 - New configuration variable "yagMemsymHeuristic", defaults to no. When set
74 to yes, always eliminates current paths connecting two symmetric memories,
75 which was previous behavior.
76 - The setting "yagMemsymLatch" has been removed, behavior is as if this was
77 set to yes.
78 - The TCL function "ttv_SimulatePathDetail" is deprecated and is replaced by
79 the new function "ttv_SimulatePath" which takes a timing path.
80 - New configuration variable "yagStuckLatch". When set to "yes" (the default)
81 latches are considered to be stuck if all data inputs are stuck even if
82 the clock inputs are not stuck.
83
84 ###############################################################################
85 # AvtTools version 3.1p3 Release Notes
86 # Copyright (c) 1998-2007, AVERTEC All Rights Reserved
87 # Jul 25th, 2007
88 #
89 ###############################################################################
90
91 # 1 - New functionalities
92 - New TCL function "inf_DefineTransparent" which allows user to specify that a
93 latch can be transparent so that paths crossing the latch are reported.
94 - The "set_false_path" command can now be used for access paths.
95 - Slack report for clock gating checks now display all data origins instead
96 of just the worst.
97 - Optional precision warning message, activated by "stmPrecisionWarning",
98 during delay calculation when an input slope is more than a given multiple
99 of the gate delay. Multiple given by "stmPrecisionThreshold" (default 10).
100 - The "ttv_ProbeDelay" function now supports use of wildcards
101 - Possibility to generate a mini crosstalk report after each iteration in
102 crosstalk analysis. Enabled by setting "stbCtkMaxReportedSignals" greater
103 than zero, this value sets the number of slacks/delays/scores to report.
104 - New TCL function "inf_DefineSwitchingProbability" to define a switching
105 probability for a signal used during crosstalk analysis to filter
106 improbable aggression.
107 - Possibility to use explicit time/capacitance units in TCL functions.
108
109 # 2 - Bug fixes
110 - Clock latency is now displayed in slack report when user specified by
111 "set_clock_latency".
112 - INF or SDC directives affecting database generation can now use any of
113 the possible names when referring to a node in a hierarchical netlist.
114 - Filter directives could sometimes eliminate valid paths.
115 - CPE can now correctly handle looped structures such as RS and clock
116 generators.
117 - CPE can now handle a write to a symmetric memory.
118 - The setting "avtParasiticCacheSize = 0" now works from a TCL script.
119 - The observable mode of crosstalk analysis now filters correctly.
120 - Fixed bug preventing timing checks for directives between two data
121 signals.
122 - Configuration "avtSpiTp/TnModelName" now works from a TCL script.
123
124 # 3 - Configuration Changes
125 - Crosstalk analysis is set to observable mode by default.
126
127
128 ###############################################################################
129 # AvtTools version 3.1p2 Release Notes
130 # Copyright (c) 1998-2007, AVERTEC All Rights Reserved
131 # Jun 21st, 2007
132 #
133 ###############################################################################
134
135 # 1 - New functionalities
136 - Clock gating checks are performed between the inputs of the gate at which
137 the convergence occurs.
138 - Any of the possible hierarchical names can be set for "set_case_analysis"
139 constraints in a hierarchical netlist.
140 - Display both HZ and non-HZ slacks for a precharge node instead of just the
141 worst.
142 - Possibility to specify HZ transition in path or slack report request by
143 suffixing the character 'Z' or '/' to the direction specification.
144 - Path search can now take into account that latches or precharges of
145 identical phase are transparent.
146 - New option to allow propagation of a slack error on a precharge to the
147 latch at the end of a domino precharge chain in order to obtain slack
148 error margin at this latch. Set "avtTransparentPrecharge" to "unfiltered".
149 - Possibility to specify the "through latch" in a slack report request.
150 - Marking a latch using FCL no longer automatically blocks current paths
151 through the latch unless the "BLOCKER" directive is added.
152
153 # 2 - Bug fixes
154 - Possibility of wrong evaluation of expressions in the flattening process.
155 - Critical path search through precharge nodes ("avtTransparentPrecharge")
156 could return incorrect path.
157 - Missing "through" node in slack corresponding to unclocked precharge
158 evaluation.
159
160 ###############################################################################
161 # AvtTools version 3.1p1 Release Notes
162 # Copyright (c) 1998-2007, AVERTEC All Rights Reserved
163 # Jun 11th, 2007
164 #
165 ###############################################################################
166
167 # 1 - New functionalities
168 - inf_DefinePathDelayMargin now affects .lib values.
169 - New option "pathcomp" for ttv_ConnectorToLatchReport.
170 - Handling of '\' and '\\' in spice parser.
171
172 # 2 - Bug fixes
173 - Better handling of stuck inputs in CPE.
174 - ttv_ProbeDelay now can take net names.
175 - Handling of mixed BSIM levels.
176 - Wrong equivalent capacitance value computed when loading timing database in CPE.
177
178 ###############################################################################
179 # AvtTools version 3.1 Release Notes
180 # Copyright (c) 1998-2007, AVERTEC All Rights Reserved
181 # May 30th, 2007
182 #
183 ###############################################################################
184
185 # 1 - New functionalities
186 - Handling of .FUNC in spice parser.
187 - New functions added in spice parser:
188 sgn(a)
189 sign(a,b)
190 pow(a,b)
191 pwr(a,b)
192 e(a,b)
193 limit(a,b)
194 agauss(a,b,c)
195 symdistr(a,b,c)
196 asymdistr(a,b,c,d)
197 skewcor(a,b,c,d)
198 distr(a,b,c,d)
199 - Clock detection in 'tma_Abstract' when behavioral figure exists.
200 - Explicit mention of added/removed cycles in slack report in case of multicycle path.
201 - Better handling of syntax/errors in SPEF parser.
202 - Precharge transparancy. Activated by setting "avtTransparentPrecharge" to "yes".
203 - Asynchronous commands detection by setting "yagSetResetDetection" to "yes".
204
205 # 2 - Bug fixes
206 - Binary avt_shell in 32 bits can now generate reports > 2GB.
207 - 'ttv_DisplayPathDetail' crashed when NULL path given.
208 - Incoherent results when annotation coupling capacitances in DSPF.
209 - Missing slacks between stb and .sto parse.
210 - Slack report crashed when CKLATCH section in INF file existed.
211 - Handling name issue in avttools.conf
212 - Unsupported operator '!=' in spice equations.
213 - Bad implementation of mobility parameters in BSIM4.5
214 - .include order issue in spice parser.
215 - Disable gate delay not working with "avtVectorize" set to "yes".
216 - Bad implementation of Vsat calculation when 'tempmod' parameter was set to '1'.
217
218 # 3 - Configuration changes
219 - The setting "tmaDetectClock" is no longer supported.
220
221 # 4 - Distribution changes
222 - RedHat Enterprise Linux 4.0 supported, using binaries for 3.0.
223 - Solaris 10 supported, using binaries for Solaris 9.
224
225 ###############################################################################
226 # AvtTools version 3.0p5 Release Notes
227 # Copyright (c) 1998-2007, AVERTEC All Rights Reserved
228 # March 14th, 2007
229 #
230 ###############################################################################
231
232 # 1 - New functionalities
233 - New standard TCL API to load complete crosstalk results database.
234 - The SPEF parser no longer causes abort in cases of missing devices.
235 - SPEF parser now accepts all legal SPEF syntax.
236
237 # 2 - Bug fixes
238 - Scaling error when counting RC delay internal to a cone such as before
239 a transfer gate.
240 - Incomplete criteria for choosing to calculate RC delays internal to cones.
241 - 32bit avt_shell could not create user report files larger than 2GB.
242 - Fixed fatal error in TCL function "ttv_DisplayPathDetail" when given a
243 NULL path.
244 - Fixed issue of missing coupling capacitance from DSPF depending on net
245 declaration order.
246 - Fixed incorrect DSPF parasitic connections in case of missing *|S.
247 - Fixed issue of potential optimism in fall transition for transfer
248 gate command delay when using avtNewSwitchModel.
249 - Fixed issue where CKLATCH caused connectors to be clocks for STA.
250
251 ###############################################################################
252 # AvtTools version 3.0p4 Release Notes
253 # Copyright (c) 1998-2007, AVERTEC All Rights Reserved
254 # February 21st, 2007
255 #
256 ###############################################################################
257
258 # 1 - New functionalities
259 - Spice parser support for user-defined functions.
260 - New standard TCL scripts showing individual gate simulation and custom
261 slack report generation.
262 - Precision characterisation mode using propagated slope values, activated
263 by setting "tmaCharacPrecision" to "yes".
264 - Annotation of passive devices (resistors, capacitors, diodes) now permitted
265 by SPEF parser by setting "avtAnnotationPreserveExistingParasitics" to "yes"
266 - Stability analysis now takes full account of false path and false slack
267 settings. This allows false DATA LAG reports to be removed. This
268 functionality is activated by setting "stbStabilityCorrection" to "yes"
269 - Custom configuration of device connector names for DSPF and SPEF parasitic
270 annotation to handle outputs of any extractor. See documentation of
271 "avtAnnotationDeviceConnectorSetting" for details.
272 - TYPE property of timing path detail now gives precise gate type if known.
273 - Optmisation of regular expression handling.
274
275 # 2 - Bug fixes
276 - More robust parasitic annotation for DSPF and SPEF formats. Node connections
277 are fully determinist and corected an issue where a parasitic file could
278 open circuit a connection existing in the device netlist.
279 - "inf_DisableTimingArc" will now give a warning if the specified names do
280 not exist.
281 - Issue of XTAS process remaining on license checkout failure, unusable but
282 using CPU.
283 - CPE issue where initial conditions not set for non-dual CMOS gates.
284 - CPE issue where results not read due to measure label mismatch in case of
285 bussed signals
286 - Total net capacitance in DSPF file is now calculated properly in case of
287 crosstalk capacitance.
288 - Corrected issue of total capacitance update if the crosstalk capacitance
289 is specified by an expression.
290 - Corrected issue in "avtSpiKeepCards" "capacitance" setting which behaved
291 the exect opposite to the setting.
292 - Intrinsic charge calculation bug for BSIM3 CAPMOD=0 technology files.
293 - Convergence issue calculating branch current, could result in reduced
294 precision for transfer gates.
295 - Corrected issue in "LoadSwitchingWindows" where incorrect slack could be
296 reported due to incomplete connecter stability in ".STO" file.
297 - Corrected issue in "LoadSwitchingWindows" in case of missing clock
298 specification for multi-clock latches.
299 - Corrected issue of missing clock path detail in case of precharge with
300 no direct clocking of precharge or evaluation phase.
301 - Corrected issue of nonsensical display of data required in slack report
302 in case of combinational circuits.
303 - Missing property for "THRU" latch in slack object.
304 - Corrected handling issue of new BSIM4.5 transistor instance specific
305 parameters.
306 - Correct handling of net name in DSPF interpreted as spice.
307 - Corrected issue in setting Vcard on pin logically connected to another
308 external pin.
309 - Corrected issue of very strange delay results in some cases when using
310 avtNewSwitchModel
311 - Corrected issue in which variable avtNewSwitchModel had to be present
312 for script recalculating delays in order to be taken into account even
313 though UTD was built using avtNewSwitchModel
314
315 # 3 - Distribution changes
316 - RedHat Linux 8.0 is no longer supported.
317
318 ###############################################################################
319 # AvtTools version 3.0p3 Release Notes
320 # Copyright (c) 1998-2007, AVERTEC All Rights Reserved
321 # February 1st, 2007
322 #
323 ###############################################################################
324
325 # 1 - New functionalities
326 - Support for crosstalk capacitances in DSPF parser.
327 - Better effective gate-drain capacitance modeling.
328 - Improvement in local crosstalk effect.
329 - BSIM 4.5 partial support (well proximity effects not yet supported).
330
331 # 2 - Bug fixes
332 - In .LIB driver clock related output pins necessarily have an access
333 timing arc.
334 - Correct phase and domain handling for user-defined timing directives and
335 clock gating checks.
336 - Bug in BSIM VERSION handling in 4.4 technology files
337 - Delay recalculation issue in symmetric memory cells
338
339 ###############################################################################
340 # AvtTools version 3.0p2 Release Notes
341 # Copyright (c) 1998-2006, AVERTEC All Rights Reserved
342 # January 22nd, 2007
343 #
344 ###############################################################################
345
346 # 1 - New functionalities
347 - New TCL command inf_DefineFalseSlack to remove false slack errors.
348 - User defined directives to specify clock filtering of data signals.
349 - Handling of symmetrical bitcells as 2 latches with setting of
350 yagMemsymLatch to yes.
351
352 # 2 - Bug fixes
353 - Incorrect intrinsic setup in slack report.
354 - Fatal error if netlist contains transistors identical apart from SA/SB.
355 - INF marking of unused transistors.
356 - Automatic clock gating not applied to stuck nets.
357 - Voltage initialisation bug in NewSwitchModel.
358 - Impossible convergence in NewSwitchModel for very low supply voltages.
359 - set_false_paths now affects paths ending on high impedance state such
360 as precharge nodes
361 - More robust regular expression handling
362
363 # 3 - Distribution changes
364 - FlexLM updated to v10.8.5.0 to correct automatic heartbeat issue with
365 64bit Linux.
366 - Solaris 2.6 is no longer supported.
367
368 ###############################################################################
369 # AvtTools version 3.0p1 Release Notes
370 # Copyright (c) 1998-2006, AVERTEC All Rights Reserved
371 # December 20th, 2006
372 #
373 ###############################################################################
374
375 # 1 - New functionalities
376 - Handling of conditional "a ? b : c" and "int" operators in technology file.
377 - Handling of user parameters in transistor instance.
378
379 # 2 - Bug fixes
380 - Fixed bug when *|NET within .subckt in spice netlist.
381 - Input slope in spicedeck when the first timing arc is a parasitic
382 was incorrect.
383 - Use of unknown property caused a fatal error after warning message.
384
385 ###############################################################################
386 # AvtTools version 3.0 Release Notes
387 # Copyright (c) 1998-2006, AVERTEC All Rights Reserved
388 # November 20th, 2006
389 #
390 ###############################################################################
391
392 # 1 - New functionalities
393 - Arithmetic on propagated clocks. Using the SDC directive
394 "create_generated_clock" it is possible to specify clock
395 multipliers/dividers, duty cycle changes, inversion and
396 edge_shifting.
397 - User defined Setup/Hold timing checks between any two
398 data or clock signals.
399 - Automatic detection of clock gating check points.
400 - Timing reports contain more detail on type of gate if it
401 is a standard CMOS gate.
402 - New API function "ttv_DumpHeader" to create a standard header
403 for timing reports.
404 - Support for auto-loading of TCL functions defined in the
405 distribution TCL directory.
406 - Reports of number of warnings/errors at the end of execution
407 of any script.
408 - Parasitic annotation on diodes and capacitances.
409 - Support of spice .connect directive to connect circuit nodes.
410 - Full error message documentation.
411 - Full support of virtual clocks in data departure/arrival
412 specifiations.
413 - Support for spice voltage sources with one level of hierarchy.
414 - Improved modeling of gate output overshoot.
415 - Modeling of local crosstalk effect between input and output
416 of a gate.
417 - Improved modeling of effective gate input capacitance.
418 - Better fitting of transistor characteristics for advanced
419 technologies.
420 - Improved modeling of current in series connected transistors
421 simultaneously switching due to a common input.
422
423 # 2 - Bug fixes
424 - Improved license management stability.
425 - Incorrect master/slave latch markings in case of toggle or
426 cascaded flip-flops.
427 - "set_case_analysis" timing constraints are now propagated
428 through latches. A latch is considered stuck if the data
429 is stuck.
430 - The timing path characterisation function "ttv_CharacPaths"
431 now generates coherent path detail reports.
432 - Slew and Load axes for characterisation can now be specified
433 per timing figure before loading of the figure.
434 - avt_shell no longer blocks when started in the background.
435 - Fixed false transistor characterisation warnings for stuck
436 nodes.
437 - Handling of comments in SPEF parser.
438 - Fatal error in case of illegal false path directives.
439 - Directive "set_false_path" no longer requires "from" and "to"
440 if "through" is specified.
441 - Failure of "set_case_analysis" directive when used to specify
442 a particular transition on a bussed signal.
443
444 # 3 - Configuration changes
445 - The setting "tasGenerateDetailTimingFile" is no longer supported.
446 The detailed timing file is now always generated, equivalent to
447 the "yes" setting.
448 - The setting "tasExitAfterDetailTimingFile" now defaults to "yes".
449 The timing path file can be optionally generated by setting this
450 variable to "no"
451 - The setting "yagAutomaticLatchDetection now defaults to "yes".
452 - The setting "yagDetectBistable" is no longer supported. Use the
453 setting "yagAutomaticRSDetection" instead. This variable defaults
454 to yes but requires "yagAutomaticLatchDetection=yes". By default
455 RS bistables are recognised but not treated as latches. This
456 behaviour can be customised using "yagAutomaticRSDetection".
457 - The settings "tmaTtxInput", "tmaTtxInput", "tmaTtxInput" are
458 no longer supported. The API tma_Abstract automatically uses
459 whatever form of the timing figure has been loaded. If both
460 path and detail are loaded, then the detail is used.
461 - The settings "avtAnnotationKeepM" and "avtAnnotationKeepX" are
462 obsolete. They are replaced by the more general setting
463 "avtAnnotationKeepCards"
464 - Clocks automatically "Equivalent" if they are generated from
465 the same source clock and have the same period.
466
467 ###############################################################################
468 # AvtTools version 2.9p5 Release Notes
469 # Copyright (c) 1998-2006, AVERTEC All Rights Reserved
470 # October 2nd, 2006
471 #
472 ###############################################################################
473
474 # 2 - Bug fixes
475 - Bug fix in computation of active and effective dimensions of transistors
476 - Bug fix with low VT: transistors's current not properly computed
477 - Tuning of convergence conditions for very low currents
478
479 ###############################################################################
480 # AvtTools version 2.9p4 Release Notes
481 # Copyright (c) 1998-2006, AVERTEC All Rights Reserved
482 # September 18th, 2006
483 #
484 ###############################################################################
485
486 # 1 - New functionalities
487 - path margin addition (inf_DefinePathDelayMargin) now calculates the margin
488 individually for each path instead of just using the critical path for
489 each latch
490 - line editing, history and command completion in avt_shell
491 - clock path details are now given in the connector to latch report as in
492 the slack report
493 - specification of HZ false paths (used in automatic false path detection)
494 - new setting "avtErrorPolicy", default is "lenient" but if set to "strict"
495 then any error will cause abort
496 - possibility to use full regular expressions as wildcards in INF/SDC
497 settings by preceding the expression with '%'
498 - new API function "ttv_SetTimingLineDelay" to force the delay value of a
499 timing arc
500
501
502 # 2 - Bug fixes
503 - clock path details given in slack report when clock is a connector
504 - separation of switching windows on the output of latches with
505 multiple clocks (removes false pessimism in this case)
506 - automatic search of real critic path if real critic path is marked as
507 a false path
508 - correlated clock skew compensation is now only applied on hold checks
509 - corrected a spice parser issue whereby recursive subcircuit definition
510 caused a fatal error
511 - suppression of unwanted constraint arcs in .LIB in pre-layout case
512
513 # 3 - Configuration changes
514 - the setting "tasMemoryCharacterisation = no" now no longer disables the
515 intrinsic latch access timing arc
516
517
518
519 ###############################################################################
520 # AvtTools version 2.9p3 Release Notes
521 # Copyright (c) 1998-2006, AVERTEC All Rights Reserved
522 # August 21st, 2006
523 #
524 ###############################################################################
525
526 # 2 - Bug fixes
527 - clock skew correlation not computed
528 - fixed XTAS possible crash during STA detail result display
529
530 ###############################################################################
531 # AvtTools version 2.9p2 Release Notes
532 # Copyright (c) 1998-2006, AVERTEC All Rights Reserved
533 # August 8th, 2006
534 #
535 ###############################################################################
536
537 # 1 - New functionalities
538 - optional display of internal margins in slack and connector_to_latch report
539
540 # 2 - Bug fixes
541 - incorrect rounding in path margin calculation
542 - STA propagated delays incorrectly displayed as crosstalk modifed
543 - difference between slack report summary and detail due to speed optimisation
544 in release 2.9
545 - Intrinsic access value modified by STA so causing summary/detail
546 differences in slack report
547 - inversion of RC and GATE line types when tasMemoryCharacterization off
548 - incomplete driving of parallel transistors in CNS/CNV files
549 - non-optimal speed for large spicedeck generation
550 - incorrectly very long names for internal nodes
551 - warnings due to incoherent RC networks in a generated SPEF file
552 - Node 0 not connected to Vss when used in instantiation
553 - excessive pessimism in hold margin calculation for precharge nodes
554 - stbEnableCommandCheck did not affect precharge verification
555 - corrected fatal error when doing STA on precharge circuits without clock
556 - false path specification now works with both node and net names
557 - phase inversion of command waveforms in graphical display
558 - corrected fatal error in partitioning after dynamic depth modfication
559 - nodes stuck at One or Zero can no longer be reported as loops
560
561 ###############################################################################
562 # AvtTools version 2.9p1 Release Notes
563 # Copyright (c) 1998-2006, AVERTEC All Rights Reserved
564 # July 31st, 2006
565 #
566 ###############################################################################
567
568 # 2 - Bug fixes
569 - fixed bug in ttv_GetTimingSignalProperty
570 - fixed bug in spicedeck when netlist contains parallel transistors
571
572 ###############################################################################
573 # AvtTools version 2.9 Release Notes
574 # Copyright (c) 1998-2006, AVERTEC All Rights Reserved
575 # June 27th, 2006
576 #
577 ###############################################################################
578
579
580 # 1 - New functionalities
581 - Slack report detail modified for easier slack computation understanding
582 - new variable stbEnableCommandCheck added
583 - new variable stbHelpForSetup added
584 - Tcl Function ttv_RecomputeDelays
585 - variable stbCtkReportFile is now set to no by default
586 - INF and avttools.conf real logging (memory status and not dump of input files
587 as previously done)
588 - When driving INF file, extension '.inf' will no more be automatically added to filename
589 - STB now uses the message log mechanism
590 - Manual recognition of latches. Done by INF markings inf_MarkSignal and inf_MarkTransistor.
591 - Syntax for Spice Diodes no longer requires AREA and PJ keywords
592 - Error log mechanism is used for SPEF error messages
593 - Correlated skew analysis
594 - STB can now report the good reference clock
595 - Tcl Function ttv_CharacPaths (requires TMA token)
596 - Tcl Function ttv_DetectFalsePath
597 - variable tasOutputCharge no longer supported. Replaced by SDC set_load command
598 - variable simOutCapaValue no longer supported. Replaced by SDC set_load command
599
600 # 2 - Bug fixes
601 - inf_Drive crashed when driving MUTEX constraints
602 - HiTas crashed in particular case during 'extracting CMOS dual' step
603 - STB corrected for muticycle path
604 - STB corrected for data propagation when a command signal was also a latch data
605 - fixed bug in path margin report file
606 - fixed bug in ttv_GetTimingDetailProperty
607 - fixed a minor bug when rcxAweMatrix=always
608 - fixed bug in particular case of multivoltage context
609 - Xtas failed to report parallel paths for all the paths with '*' as start
610 node with 'sig by sig'
611 - No 'ERROR FROM' with setup errors: multicyclepath directive was removing
612 'ERROR FROM' but not setup error itself
613 - Xtas crashed when displaying precharge stability
614 - [rcn warning 000] internal error 7 spice deck extraction
615 - DTX crash when inf_DefineDLatch on outputs together with yagleMarkTristateMemory
616 - Flat analysis of blocks with instances and transistors crashed when using
617 black boxes for the instances
618 - Axis values appear twice in .lib file if there inf_DefineSlopeRange was followed
619 by inf_Drive and 'avtReadInformationFile = $.inf'
620 - Correction of optimism in computation of intrinsic setup and hold values
621 - File bigger than 2Go could not be opened
622 - SPEF parser did not support numbers as identifier in the namemap section
623 - SPEF parser showed errors when reading a file generated with spice2spef converter
624 - Xtas did not display negative hold/setup margin
625 - Variables tasDelaySwitch, tasTreatDifferentialLatches and tasSpiceSimulation
626 no longer supported
627 - LIB parser crashed when missing slew thresholds
628 - LIB: better handling of rise and fall capacitance range
629