Initial version of donated sources by Avertec, 3.4p5.
[tas-yagle.git] / distrib / share / tutorials / hitas / blackbox / report.tcl
1 #!/usr/bin/env avt_shell
2
3 #############################################################
4 # Timing Reporting #
5 #############################################################
6
7 inf_SetFigureName circuit
8 create_clock -period 1000 -waveform {0 500} ck
9 set fig [ttv_LoadSpecifiedTimingFigure circuit]
10
11 set log [fopen "path.log" "wt"]
12 set clist [ttv_GetPaths $fig * * ?? 0 critic path max]
13 ttv_DisplayPathListDetail $log $clist
14
15 set log [fopen "access.log" "wt"]
16 set clist [ttv_GetPaths $fig * * ?? 0 critic access max]
17 ttv_DisplayPathListDetail $log $clist