Initial version of donated sources by Avertec, 3.4p5.
[tas-yagle.git] / distrib / share / tutorials / hitas / clock_gating / circuit.sdc
1 create_clock -period 2800 -waveform { 0 1400 } CK
2
3 set_input_delay -min 0 -clock CK {EN* D*}
4 set_input_delay -max 0 -clock CK {EN* D*}
5 set_input_delay -min 0 -clock CK -clock_fall [all_inputs]
6 set_input_delay -max 0 -clock CK -clock_fall [all_inputs]