Initial version of donated sources by Avertec, 3.4p5.
[tas-yagle.git] / distrib / share / tutorials / hitas / counter / run.tcl
1 #!/usr/bin/env avt_shell
2
3 set figure counter
4
5 avt_config SimPowerSupply 0.9
6 avt_config SimTemperature 60
7 avt_config avtVddName vdd
8 avt_config avtVssName vss
9 avt_config tasGenerateConeFile yes
10 avt_config avtVerboseConeFile yes
11 avt_config tasTreatPrecharge yes
12 avt_config yagDetectPrecharge yes
13 avt_config avtlogfile $figure.log
14 avt_config avtSpiKeepCards all
15 ttv_SetupReport ps
16
17 inf_SetFigureName $figure
18
19 set_case_analysis 0 reset
20
21 create_clock -period 200 -waveform { 0 100 } ck
22 create_generated_clock -source ck -edges {2 4 6} xo1_fflop.xs.li
23 create_generated_clock -source xo1_fflop.xs.li -edges {2 4 6} xo2_fflop.xs.li
24 create_generated_clock -source xo2_fflop.xs.li -edges {2 4 6} xo3_fflop.xs.li
25 create_generated_clock -source xo3_fflop.xs.li -edges {2 4 6} xo4_fflop.xs.li
26 create_generated_clock -source xo4_fflop.xs.li -edges {2 4 6} xo5_fflop.xs.li
27 create_generated_clock -source xo5_fflop.xs.li -edges {2 4 6} xo6_fflop.xs.li
28
29 set_input_delay -min 0 -clock ck -clock_fall [all_inputs]
30 set_input_delay -max 0 -clock ck -clock_fall [all_inputs]
31
32 set_output_delay -clock ck -clock_fall 500 o*
33
34 inf_Drive counter.inf
35
36 avt_LoadFile ../techno/bsim4_dummy.hsp spice
37 avt_LoadFile "counter.spi" spice
38
39 set fig [hitas $figure]
40
41 set sf [stb $fig]
42
43 set ofile [ fopen "slack.log" "w" ]
44 stb_DisplaySlackReport $ofile $sf * * ?? 0 "all thru margins" 1
45 fclose $ofile
46