Initial version of donated sources by Avertec, 3.4p5.
[tas-yagle.git] / distrib / share / tutorials / hitas / cpu2901 / db.tcl
1 #!/usr/bin/env avt_shell
2
3 #############################################################
4 # Timing Database Generation #
5 #############################################################
6
7 # Spice parser
8 avt_config avtSpiTolerance high
9
10
11 # Timing Analysis Parameters
12 avt_config simVthHigh 0.8
13 avt_config simVthLow 0.2
14 avt_config tasGenerateConeFile yes
15 avt_config avtVerboseConeFile yes
16 avt_config simToolModel hspice
17
18 inf_SetFigureName cpu2901
19
20 set_case_analysis 0 test
21 set_case_analysis 1 fonc
22
23 # Technology Parameters
24 avt_LoadFile cpu2901.spi spice
25
26 set fig [hitas cpu2901]