Initial version of donated sources by Avertec, 3.4p5.
[tas-yagle.git] / distrib / share / tutorials / hitas / cpu2901 / sta.tcl
1 #!/usr/bin/env avt_shell
2
3 #############################################################
4 # Stability Analysis #
5 #############################################################
6
7 inf_SetFigureName cpu2901
8
9 create_clock -period 10000 -waveform {5000 0} ck
10
11 set_input_delay -min 2000 -clock ck -clock_fall [all_inputs]
12 set_input_delay -max 3000 -clock ck -clock_fall [all_inputs]
13
14 # OCV
15 #inf_DefinePathDelayMargin any "*" 1 1e-9 datapath
16
17 set fig [ttv_LoadSpecifiedTimingFigure cpu2901]
18
19 set stbfig [stb $fig]
20
21 stb_DisplaySlackReport [fopen slack.rep w] $stbfig * * ?? 10 all 10000