Initial version of donated sources by Avertec, 3.4p5.
[tas-yagle.git] / distrib / share / tutorials / hitas / ssta / adder / ssta.tcl
1 #!/usr/bin/env avt_shell
2
3 #############################################################
4 # Timing Database Generation #
5 #############################################################
6
7 # Timing Analysis Parameters
8 avt_config avtLibraryDirs .:./include/
9 avt_config simToolModel hspice
10
11 runStatHiTas 50 -incremental -result slacks.ssta -storedir store
12
13 inf_SetFigureName addaccu
14
15 create_clock -name "ck" -period 3000 -waveform { 0 1500} "ck"
16
17 avt_LoadFile ./include/addaccu_schem.inc spice
18 set fig [hitas addaccu]
19
20 #############################################################
21 # Timing Database Analysis #
22 #############################################################
23
24 # STA
25 set stbfig [stb $fig]
26
27 ssta_SlackReport -senddata $stbfig simple