Initial version of donated sources by Avertec, 3.4p5.
[tas-yagle.git] / distrib / share / tutorials / yagle / dram / cells / cells.model
1
2 .subckt cells bl0_up blb0_up bl1_dn blb1_dn wl0 wl1
3 * |condir bl0_up inout, blb0_up inout, bl1_dn inout, blb1_dn inout
4 * |condir wl0 in, wl1 in
5 .ends