Initial version of donated sources by Avertec, 3.4p5.
[tas-yagle.git] / distrib / share / tutorials / yagle / dram / cells / sa_plus_mux.tcl
1 proc sa_plus_mux {} {
2 global writesig
3 begCreateInterface
4
5 begAddMemDriver "bl" "ext@$writesig and (sel0 or not sel0b)" "in0" 0 NULL
6 begAddMemDriver "blb" "ext@$writesig and (sel0 or not sel0b)" "in0b" 0 NULL
7
8 begAddMemDriver "bl" "ext@$writesig and (sel1 or not sel1b)" "in1" 0 NULL
9 begAddMemDriver "blb" "ext@$writesig and (sel1 or not sel1b)" "in1b" 0 NULL
10
11 begKeepModel
12 begSaveModel
13 }