Initial version of donated sources by Avertec, 3.4p5.
[tas-yagle.git] / distrib / share / tutorials / yagle / glitcher / run.tcl
1 #!/usr/bin/env avt_shell
2
3 #############################################################
4 # Timing Database Generation #
5 #############################################################
6
7 # Global Configuration
8 avt_config simToolModel hspice
9 avt_config simPowerSupply 1.2
10 avt_config simTemperature 25
11 avt_config avtVddName VDD
12 avt_config avtVssName VSS
13 avt_config yagleSplitTimingRatio 1
14 avt_config yagleTasTiming max
15
16 # Technology Parameters
17 avt_LoadFile ../techno/bsim4_dummy.hsp spice
18
19 avt_LoadFile glitcher.spi spice
20
21
22 yagle glitcher