672d8b2c56ebe215dcc836d76cd681f80ce7060d
[gram.git] / gram / phy / ecp5ddrphy.py
1 # This file is Copyright (c) 2019 David Shah <dave@ds0.me>
2 # This file is Copyright (c) 2019-2020 Florent Kermarrec <florent@enjoy-digital.fr>
3 # This file is Copyright (c) 2020 LambdaConcept <contact@lambdaconcept.com>
4 # License: BSD
5
6 # 1:2 frequency-ratio DDR3 PHY for Lattice's ECP5
7 # DDR3: 800 MT/s
8
9 import math
10
11 from nmigen import *
12 from nmigen.hdl.ast import Rose
13 from nmigen.lib.cdc import FFSynchronizer
14 from nmigen.utils import log2_int
15
16 from lambdasoc.periph import Peripheral
17
18 from gram.common import *
19 from gram.phy.dfi import Interface
20 from gram.compat import Timeline
21
22 __all__ = ["ECP5DDRPHY"]
23
24
25 class ECP5DDRPHYInit(Elaboratable):
26 def __init__(self):
27 self.pause = Signal()
28 self.stop = Signal()
29 self.delay = Signal()
30 self.reset = Signal()
31
32 def elaborate(self, platform):
33 m = Module()
34
35 update = Signal()
36 freeze = Signal()
37
38 # DDRDLLA instance -------------------------------------------------------------------------
39 _lock = Signal()
40 lock = Signal()
41 lock_d = Signal()
42 m.submodules += Instance("DDRDLLA",
43 i_CLK=ClockSignal("sync2x"),
44 i_RST=ResetSignal("init"),
45 i_UDDCNTLN=~update,
46 i_FREEZE=freeze,
47 o_DDRDEL=self.delay,
48 o_LOCK=_lock)
49 m.submodules += FFSynchronizer(_lock, lock, o_domain="init")
50 m.d.init += lock_d.eq(lock)
51
52 # DDRDLLA/DDQBUFM/ECLK initialization sequence ---------------------------------------------
53 t = 8 # in cycles
54 tl = Timeline([
55 (1*t, [freeze.eq(1)]), # Freeze DDRDLLA
56 (2*t, [self.stop.eq(1)]), # Stop ECLK domain
57 (3*t, [self.reset.eq(1)]), # Reset ECLK domain
58 (4*t, [self.reset.eq(0)]), # Release ECLK domain reset
59 (5*t, [self.stop.eq(0)]), # Release ECLK domain stop
60 (6*t, [freeze.eq(0)]), # Release DDRDLLA freeze
61 (7*t, [self.pause.eq(1)]), # Pause DQSBUFM
62 (8*t, [update.eq(1)]), # Update DDRDLLA
63 (9*t, [update.eq(0)]), # Release DDRDMMA update
64 (10*t, [self.pause.eq(0)]), # Release DQSBUFM pause
65 ])
66 m.d.comb += tl.trigger.eq(lock & ~lock_d) # Trigger timeline on lock rising edge
67 m.submodules += DomainRenamer("init")(tl)
68
69 return m
70
71
72 class _DQSBUFMSettingManager(Elaboratable):
73 """DQSBUFM setting manager.
74
75 The DQSBUFM primitive requires a very basic sequence when updating
76 read delay or other parameters. This elaboratable generates this
77 sequence from CSR events.
78
79 Parameters
80 ----------
81 rdly_slr : CSR
82 CSR storing the rdly value.
83
84 Attributes
85 ----------
86 pause : Signal(), out
87 Pause signal for DQSBUFM.
88 readclksel : Signal(3), out
89 Readclksel signal for DQSBUFM.
90 """
91 def __init__(self, rdly_csr):
92 self.rdly_csr = rdly_csr
93
94 self.pause = Signal()
95 self.readclksel = Signal(3)
96
97 def elaborate(self, platform):
98 m = Module()
99
100 with m.FSM():
101 with m.State("Idle"):
102 with m.If(self.rdly_csr.w_stb):
103 m.d.sync += self.pause.eq(1)
104 m.next = "RdlyUpdateRequested"
105
106 with m.State("RdlyUpdateRequested"):
107 m.d.sync += self.readclksel.eq(self.rdly_csr.w_data)
108 m.next = "ResetPause"
109
110 with m.State("ResetPause"):
111 m.d.sync += self.pause.eq(0)
112 m.next = "Idle"
113
114 return m
115
116
117 class ECP5DDRPHY(Peripheral, Elaboratable):
118 def __init__(self, pads, sys_clk_freq=100e6):
119 super().__init__(name="phy")
120
121 self.pads = pads
122 self._sys_clk_freq = sys_clk_freq
123
124 databits = len(self.pads.dq.io)
125 if databits % 8 != 0:
126 raise ValueError("DQ pads should come in a multiple of 8")
127
128 # CSR
129 bank = self.csr_bank()
130
131 self.burstdet = bank.csr(databits//8, "rw")
132
133 self.rdly = []
134 self.rdly += [bank.csr(3, "rw", name="rdly_p0")]
135 self.rdly += [bank.csr(3, "rw", name="rdly_p1")]
136
137 self._bridge = self.bridge(data_width=32, granularity=8, alignment=2)
138 self.bus = self._bridge.bus
139
140 addressbits = len(self.pads.a.o0)
141 bankbits = len(self.pads.ba.o0)
142 nranks = 1
143 if hasattr(self.pads, "cs") and hasattr(self.pads.cs, "o0"):
144 nranks = len(self.pads.cs.o0)
145 databits = len(self.pads.dq.io)
146 self.dfi = Interface(addressbits, bankbits, nranks, 4*databits, 4)
147
148 # PHY settings -----------------------------------------------------------------------------
149 tck = 1/(2*self._sys_clk_freq)
150 nphases = 2
151 databits = len(self.pads.dq.io)
152 cl, cwl = get_cl_cw("DDR3", tck)
153 cl_sys_latency = get_sys_latency(nphases, cl)
154 cwl_sys_latency = get_sys_latency(nphases, cwl)
155 rdcmdphase, rdphase = get_sys_phases(nphases, cl_sys_latency, cl)
156 wrcmdphase, wrphase = get_sys_phases(nphases, cwl_sys_latency, cwl)
157 self.settings = PhySettings(
158 phytype="ECP5DDRPHY",
159 memtype="DDR3",
160 databits=databits,
161 dfi_databits=4*databits,
162 nranks=nranks,
163 nphases=nphases,
164 rdphase=rdphase,
165 wrphase=wrphase,
166 rdcmdphase=rdcmdphase,
167 wrcmdphase=wrcmdphase,
168 cl=cl,
169 cwl=cwl,
170 read_latency=2 + cl_sys_latency + 2 + log2_int(4//nphases) + 4,
171 write_latency=cwl_sys_latency
172 )
173
174 def elaborate(self, platform):
175 m = Module()
176
177 m.submodules.bridge = self._bridge
178
179 tck = 1/(2*self._sys_clk_freq)
180 nphases = 2
181 databits = len(self.pads.dq.io)
182
183 burstdet_reg = Signal(databits//8, reset_less=True)
184 m.d.comb += self.burstdet.r_data.eq(burstdet_reg)
185
186 # Burstdet clear
187 with m.If(self.burstdet.w_stb):
188 m.d.sync += burstdet_reg.eq(0)
189
190 # Init -------------------------------------------------------------------------------------
191 m.submodules.init = init = ECP5DDRPHYInit()
192
193 # Parameters -------------------------------------------------------------------------------
194 cl, cwl = get_cl_cw("DDR3", tck)
195 cl_sys_latency = get_sys_latency(nphases, cl)
196 cwl_sys_latency = get_sys_latency(nphases, cwl)
197
198 # DFI Interface ----------------------------------------------------------------------------
199 dfi = self.dfi
200
201 bl8_chunk = Signal()
202
203 # Clock --------------------------------------------------------------------------------
204 m.d.comb += [
205 self.pads.clk.o_clk.eq(ClockSignal("dramsync")),
206 self.pads.clk.o_fclk.eq(ClockSignal("sync2x")),
207 ]
208 for i in range(len(self.pads.clk.o0)):
209 m.d.comb += [
210 self.pads.clk.o0[i].eq(0),
211 self.pads.clk.o1[i].eq(1),
212 self.pads.clk.o2[i].eq(0),
213 self.pads.clk.o3[i].eq(1),
214 ]
215
216 # Addresses and Commands ---------------------------------------------------------------
217 m.d.comb += [
218 self.pads.a.o_clk.eq(ClockSignal("dramsync")),
219 self.pads.a.o_fclk.eq(ClockSignal("sync2x")),
220 self.pads.ba.o_clk.eq(ClockSignal("dramsync")),
221 self.pads.ba.o_fclk.eq(ClockSignal("sync2x")),
222 ]
223 for i in range(len(self.pads.a.o0)):
224 m.d.comb += [
225 self.pads.a.o0[i].eq(dfi.phases[0].address[i]),
226 self.pads.a.o1[i].eq(dfi.phases[0].address[i]),
227 self.pads.a.o2[i].eq(dfi.phases[1].address[i]),
228 self.pads.a.o3[i].eq(dfi.phases[1].address[i]),
229 ]
230 for i in range(len(self.pads.ba.o0)):
231 m.d.comb += [
232 self.pads.ba.o0[i].eq(dfi.phases[0].bank[i]),
233 self.pads.ba.o1[i].eq(dfi.phases[0].bank[i]),
234 self.pads.ba.o2[i].eq(dfi.phases[1].bank[i]),
235 self.pads.ba.o3[i].eq(dfi.phases[1].bank[i]),
236 ]
237
238 # Control pins: all of thees have to be declared "xdr 4" when
239 # requesting the resource:
240 # ddr_pins = platform.request("ddr3", 0, xdr={"clk":4, "odt":4, ... })
241 controls = ["ras", "cas", "we", "clk_en", "odt"]
242 if hasattr(self.pads, "rst"): # this gets renamed later to match dfi
243 controls.append("rst")
244 if hasattr(self.pads, "reset_n"):
245 controls.append("reset_n")
246 if hasattr(self.pads, "cs"):
247 controls.append("cs")
248 for name in controls:
249 print ("clock", name, getattr(self.pads, name))
250 pad = getattr(self.pads, name)
251 # sigh, convention in nmigen_boards is "rst" but in
252 # dfi.Interface it is "reset"
253 if name == 'rst':
254 name = 'reset_n'
255 m.d.comb += [
256 pad.o_clk.eq(ClockSignal("dramsync")),
257 pad.o_fclk.eq(ClockSignal("sync2x")),
258 ]
259 for i in range(len(pad.o0)):
260 m.d.comb += [
261 pad.o0[i].eq(getattr(dfi.phases[0], name)[i]),
262 pad.o1[i].eq(getattr(dfi.phases[0], name)[i]),
263 pad.o2[i].eq(getattr(dfi.phases[1], name)[i]),
264 pad.o3[i].eq(getattr(dfi.phases[1], name)[i]),
265 ]
266
267 # DQ ---------------------------------------------------------------------------------------
268 dq_oe = Signal()
269 dqs_re = Signal()
270 dqs_oe = Signal()
271 dqs_postamble = Signal()
272 dqs_preamble = Signal()
273 for i in range(databits//8):
274 # DQSBUFM
275 dqs_i = Signal()
276 dqsr90 = Signal()
277 dqsw270 = Signal()
278 dqsw = Signal()
279 rdpntr = Signal(3)
280 wrpntr = Signal(3)
281 burstdet = Signal()
282 datavalid = Signal()
283 datavalid_prev = Signal()
284 m.d.sync += datavalid_prev.eq(datavalid)
285
286 dqsbufm_manager = _DQSBUFMSettingManager(self.rdly[i])
287 setattr(m.submodules, f"dqsbufm_manager{i}", dqsbufm_manager)
288
289 m.submodules += Instance("DQSBUFM",
290 p_DQS_LI_DEL_ADJ="MINUS",
291 p_DQS_LI_DEL_VAL=1,
292 p_DQS_LO_DEL_ADJ="MINUS",
293 p_DQS_LO_DEL_VAL=4,
294
295 # Delay
296 i_DYNDELAY0=0,
297 i_DYNDELAY1=0,
298 i_DYNDELAY2=0,
299 i_DYNDELAY3=0,
300 i_DYNDELAY4=0,
301 i_DYNDELAY5=0,
302 i_DYNDELAY6=0,
303 i_DYNDELAY7=0,
304
305 # Clocks / Reset
306 i_SCLK=ClockSignal("sync"),
307 i_ECLK=ClockSignal("sync2x"),
308 i_RST=ResetSignal("dramsync"),
309 i_DDRDEL=init.delay,
310 i_PAUSE=init.pause | dqsbufm_manager.pause,
311
312 # Control
313 # Assert LOADNs to use DDRDEL control
314 i_RDLOADN=0,
315 i_RDMOVE=0,
316 i_RDDIRECTION=1,
317 i_WRLOADN=0,
318 i_WRMOVE=0,
319 i_WRDIRECTION=1,
320
321 # Reads (generate shifted DQS clock for reads)
322 i_READ0=dqs_re,
323 i_READ1=dqs_re,
324 i_READCLKSEL0=dqsbufm_manager.readclksel[0],
325 i_READCLKSEL1=dqsbufm_manager.readclksel[1],
326 i_READCLKSEL2=dqsbufm_manager.readclksel[2],
327 i_DQSI=dqs_i,
328 o_DQSR90=dqsr90,
329 o_RDPNTR0=rdpntr[0],
330 o_RDPNTR1=rdpntr[1],
331 o_RDPNTR2=rdpntr[2],
332 o_WRPNTR0=wrpntr[0],
333 o_WRPNTR1=wrpntr[1],
334 o_WRPNTR2=wrpntr[2],
335 o_BURSTDET=burstdet,
336 o_DATAVALID=datavalid,
337
338 # Writes (generate shifted ECLK clock for writes)
339 o_DQSW270=dqsw270,
340 o_DQSW=dqsw)
341
342 with m.If(Rose(burstdet)):
343 m.d.sync += burstdet_reg[i].eq(1)
344
345 # DQS and DM ---------------------------------------------------------------------------
346 dm_o_data = Signal(8)
347 dm_o_data_d = Signal(8, reset_less=True)
348 dm_o_data_muxed = Signal(4, reset_less=True)
349 m.d.comb += dm_o_data.eq(Cat(
350 dfi.phases[0].wrdata_mask[0*databits//8+i],
351 dfi.phases[0].wrdata_mask[1*databits//8+i],
352 dfi.phases[0].wrdata_mask[2*databits//8+i],
353 dfi.phases[0].wrdata_mask[3*databits//8+i],
354
355 dfi.phases[1].wrdata_mask[0*databits//8+i],
356 dfi.phases[1].wrdata_mask[1*databits//8+i],
357 dfi.phases[1].wrdata_mask[2*databits//8+i],
358 dfi.phases[1].wrdata_mask[3*databits//8+i]),
359 )
360 m.d.sync += dm_o_data_d.eq(dm_o_data)
361
362 with m.If(bl8_chunk):
363 m.d.sync += dm_o_data_muxed.eq(dm_o_data_d[4:])
364 with m.Else():
365 m.d.sync += dm_o_data_muxed.eq(dm_o_data[:4])
366
367 m.submodules += Instance("ODDRX2DQA",
368 i_RST=ResetSignal("dramsync"),
369 i_ECLK=ClockSignal("sync2x"),
370 i_SCLK=ClockSignal("dramsync"),
371 i_DQSW270=dqsw270,
372 i_D0=dm_o_data_muxed[0],
373 i_D1=dm_o_data_muxed[1],
374 i_D2=dm_o_data_muxed[2],
375 i_D3=dm_o_data_muxed[3],
376 o_Q=self.pads.dm.o[i])
377
378 dqs = Signal()
379 dqs_oe_n = Signal()
380 m.submodules += [
381 Instance("ODDRX2DQSB",
382 i_RST=ResetSignal("dramsync"),
383 i_ECLK=ClockSignal("sync2x"),
384 i_SCLK=ClockSignal(),
385 i_DQSW=dqsw,
386 i_D0=0,
387 i_D1=1,
388 i_D2=0,
389 i_D3=1,
390 o_Q=dqs),
391 Instance("TSHX2DQSA",
392 i_RST=ResetSignal("dramsync"),
393 i_ECLK=ClockSignal("sync2x"),
394 i_SCLK=ClockSignal(),
395 i_DQSW=dqsw,
396 i_T0=~(dqs_oe | dqs_postamble),
397 i_T1=~(dqs_oe | dqs_preamble),
398 o_Q=dqs_oe_n),
399 Instance("BB",
400 i_I=dqs,
401 i_T=dqs_oe_n,
402 o_O=dqs_i,
403 io_B=self.pads.dqs.p[i]),
404 ]
405
406 for j in range(8*i, 8*(i+1)):
407 dq_o = Signal()
408 dq_i = Signal()
409 dq_oe_n = Signal()
410 dq_i_delayed = Signal()
411 dq_i_data = Signal(4)
412 dq_o_data = Signal(8)
413 dq_o_data_d = Signal(8, reset_less=True)
414 dq_o_data_muxed = Signal(4, reset_less=True)
415 m.d.comb += dq_o_data.eq(Cat(
416 dfi.phases[0].wrdata[0*databits+j],
417 dfi.phases[0].wrdata[1*databits+j],
418 dfi.phases[0].wrdata[2*databits+j],
419 dfi.phases[0].wrdata[3*databits+j],
420 dfi.phases[1].wrdata[0*databits+j],
421 dfi.phases[1].wrdata[1*databits+j],
422 dfi.phases[1].wrdata[2*databits+j],
423 dfi.phases[1].wrdata[3*databits+j])
424 )
425
426 m.d.sync += dq_o_data_d.eq(dq_o_data)
427 with m.If(bl8_chunk):
428 m.d.sync += dq_o_data_muxed.eq(dq_o_data_d[4:])
429 with m.Else():
430 m.d.sync += dq_o_data_muxed.eq(dq_o_data[:4])
431
432 m.submodules += [
433 Instance("ODDRX2DQA",
434 i_RST=ResetSignal("dramsync"),
435 i_ECLK=ClockSignal("sync2x"),
436 i_SCLK=ClockSignal(),
437 i_DQSW270=dqsw270,
438 i_D0=dq_o_data_muxed[0],
439 i_D1=dq_o_data_muxed[1],
440 i_D2=dq_o_data_muxed[2],
441 i_D3=dq_o_data_muxed[3],
442 o_Q=dq_o),
443 Instance("DELAYF",
444 p_DEL_MODE="DQS_ALIGNED_X2",
445 i_LOADN=1,
446 i_MOVE=0,
447 i_DIRECTION=0,
448 i_A=dq_i,
449 o_Z=dq_i_delayed),
450 Instance("IDDRX2DQA",
451 i_RST=ResetSignal("dramsync"),
452 i_ECLK=ClockSignal("sync2x"),
453 i_SCLK=ClockSignal(),
454 i_DQSR90=dqsr90,
455 i_RDPNTR0=rdpntr[0],
456 i_RDPNTR1=rdpntr[1],
457 i_RDPNTR2=rdpntr[2],
458 i_WRPNTR0=wrpntr[0],
459 i_WRPNTR1=wrpntr[1],
460 i_WRPNTR2=wrpntr[2],
461 i_D=dq_i_delayed,
462 o_Q0=dq_i_data[0],
463 o_Q1=dq_i_data[1],
464 o_Q2=dq_i_data[2],
465 o_Q3=dq_i_data[3]),
466 Instance("TSHX2DQA",
467 i_RST=ResetSignal("dramsync"),
468 i_ECLK=ClockSignal("sync2x"),
469 i_SCLK=ClockSignal(),
470 i_DQSW270=dqsw270,
471 i_T0=~dq_oe,
472 i_T1=~dq_oe,
473 o_Q=dq_oe_n),
474 Instance("BB",
475 i_I=dq_o,
476 i_T=dq_oe_n,
477 o_O=dq_i,
478 io_B=self.pads.dq.io[j])
479 ]
480 with m.If(~datavalid_prev & datavalid):
481 m.d.sync += [
482 dfi.phases[0].rddata[0*databits+j].eq(dq_i_data[0]),
483 dfi.phases[0].rddata[1*databits+j].eq(dq_i_data[1]),
484 dfi.phases[0].rddata[2*databits+j].eq(dq_i_data[2]),
485 dfi.phases[0].rddata[3*databits+j].eq(dq_i_data[3]),
486 ]
487 with m.Elif(datavalid):
488 m.d.sync += [
489 dfi.phases[1].rddata[0*databits+j].eq(dq_i_data[0]),
490 dfi.phases[1].rddata[1*databits+j].eq(dq_i_data[1]),
491 dfi.phases[1].rddata[2*databits+j].eq(dq_i_data[2]),
492 dfi.phases[1].rddata[3*databits+j].eq(dq_i_data[3]),
493 ]
494
495 # Read Control Path ------------------------------------------------------------------------
496 # Creates a shift register of read commands coming from the DFI interface. This shift register
497 # is used to control DQS read (internal read pulse of the DQSBUF) and to indicate to the
498 # DFI interface that the read data is valid.
499 #
500 # The DQS read must be asserted for 2 sys_clk cycles before the read data is coming back from
501 # the DRAM (see 6.2.4 READ Pulse Positioning Optimization of FPGA-TN-02035-1.2)
502 #
503 # The read data valid is asserted for 1 sys_clk cycle when the data is available on the DFI
504 # interface, the latency is the sum of the ODDRX2DQA, CAS, IDDRX2DQA latencies.
505 rddata_en = Signal(self.settings.read_latency)
506 rddata_en_last = Signal.like(rddata_en)
507 m.d.comb += rddata_en.eq(Cat(dfi.phases[self.settings.rdphase].rddata_en, rddata_en_last))
508 m.d.sync += rddata_en_last.eq(rddata_en)
509 m.d.comb += dqs_re.eq(rddata_en[cl_sys_latency + 1] | rddata_en[cl_sys_latency + 2])
510
511 rddata_valid = Signal()
512 m.d.sync += rddata_valid.eq(datavalid_prev & ~datavalid)
513 for phase in dfi.phases:
514 m.d.comb += phase.rddata_valid.eq(rddata_valid)
515
516 # Write Control Path -----------------------------------------------------------------------
517 # Creates a shift register of write commands coming from the DFI interface. This shift register
518 # is used to control DQ/DQS tristates and to select write data of the DRAM burst from the DFI
519 # interface: The PHY is operating in halfrate mode (so provide 4 datas every sys_clk cycles:
520 # 2x for DDR, 2x for halfrate) but DDR3 requires a burst of 8 datas (BL8) for best efficiency.
521 # Writes are then performed in 2 sys_clk cycles and data needs to be selected for each cycle.
522 # FIXME: understand +2
523 wrdata_en = Signal(cwl_sys_latency + 4)
524 wrdata_en_last = Signal.like(wrdata_en)
525 m.d.comb += wrdata_en.eq(Cat(dfi.phases[self.settings.wrphase].wrdata_en, wrdata_en_last))
526 m.d.sync += wrdata_en_last.eq(wrdata_en)
527 m.d.comb += dq_oe.eq(wrdata_en[cwl_sys_latency + 1] | wrdata_en[cwl_sys_latency + 2])
528 m.d.comb += bl8_chunk.eq(wrdata_en[cwl_sys_latency + 1])
529 m.d.comb += dqs_oe.eq(dq_oe)
530
531 # Write DQS Postamble/Preamble Control Path ------------------------------------------------
532 # Generates DQS Preamble 1 cycle before the first write and Postamble 1 cycle after the last
533 # write. During writes, DQS tristate is configured as output for at least 4 sys_clk cycles:
534 # 1 for Preamble, 2 for the Write and 1 for the Postamble.
535 m.d.comb += dqs_preamble.eq(wrdata_en[cwl_sys_latency + 0] & ~wrdata_en[cwl_sys_latency + 1])
536 m.d.comb += dqs_postamble.eq(wrdata_en[cwl_sys_latency + 3] & ~wrdata_en[cwl_sys_latency + 2])
537
538 return m