dea3d9e706b256d31f8b4fd6def676e217334dc7
[soc-cocotb-sim.git] / ls180 / post_pnr / chip_corona / corona.vhd
1
2 -- =======================================================================
3 -- Coriolis Structural VHDL Driver
4 -- Generated on Apr 10, 2021, 13:40
5 --
6 -- To be interoperable with Alliance, it uses it's special VHDL subset.
7 -- ("man vhdl" under Alliance for more informations)
8 -- =======================================================================
9
10 entity corona is
11 port ( eint_0_from_pad : in bit
12 ; eint_1_from_pad : in bit
13 ; eint_2_from_pad : in bit
14 ; i2c_sda_i_from_pad : in bit
15 ; jtag_tck_from_pad : in bit
16 ; jtag_tdi_from_pad : in bit
17 ; jtag_tms_from_pad : in bit
18 ; spimaster_miso_from_pad : in bit
19 ; sys_clk_from_pad : in bit
20 ; sys_rst_from_pad : in bit
21 ; uart_rx_from_pad : in bit
22 ; uart_tx_from_pad : in bit
23 ; gpio_i_from_pad : in bit_vector(15 downto 0)
24 ; sdram_dq_i_from_pad : in bit_vector(15 downto 0)
25 ; nc_from_pad : in bit_vector(39 downto 0)
26 ; eint_0_enable_to_pad : out bit
27 ; eint_1_enable_to_pad : out bit
28 ; eint_2_enable_to_pad : out bit
29 ; i2c_scl_enable_to_pad : out bit
30 ; i2c_scl_to_pad : out bit
31 ; i2c_sda_o_to_pad : out bit
32 ; i2c_sda_oe_to_pad : out bit
33 ; jtag_tck_enable_to_pad : out bit
34 ; jtag_tdi_enable_to_pad : out bit
35 ; jtag_tdo_enable_to_pad : out bit
36 ; jtag_tdo_to_pad : out bit
37 ; jtag_tms_enable_to_pad : out bit
38 ; nc_0_enable_to_pad : out bit
39 ; nc_10_enable_to_pad : out bit
40 ; nc_11_enable_to_pad : out bit
41 ; nc_12_enable_to_pad : out bit
42 ; nc_13_enable_to_pad : out bit
43 ; nc_14_enable_to_pad : out bit
44 ; nc_15_enable_to_pad : out bit
45 ; nc_16_enable_to_pad : out bit
46 ; nc_17_enable_to_pad : out bit
47 ; nc_18_enable_to_pad : out bit
48 ; nc_19_enable_to_pad : out bit
49 ; nc_1_enable_to_pad : out bit
50 ; nc_20_enable_to_pad : out bit
51 ; nc_21_enable_to_pad : out bit
52 ; nc_22_enable_to_pad : out bit
53 ; nc_23_enable_to_pad : out bit
54 ; nc_24_enable_to_pad : out bit
55 ; nc_25_enable_to_pad : out bit
56 ; nc_26_enable_to_pad : out bit
57 ; nc_27_enable_to_pad : out bit
58 ; nc_28_enable_to_pad : out bit
59 ; nc_29_enable_to_pad : out bit
60 ; nc_2_enable_to_pad : out bit
61 ; nc_30_enable_to_pad : out bit
62 ; nc_31_enable_to_pad : out bit
63 ; nc_32_enable_to_pad : out bit
64 ; nc_33_enable_to_pad : out bit
65 ; nc_34_enable_to_pad : out bit
66 ; nc_35_enable_to_pad : out bit
67 ; nc_36_enable_to_pad : out bit
68 ; nc_37_enable_to_pad : out bit
69 ; nc_38_enable_to_pad : out bit
70 ; nc_39_enable_to_pad : out bit
71 ; nc_3_enable_to_pad : out bit
72 ; nc_4_enable_to_pad : out bit
73 ; nc_5_enable_to_pad : out bit
74 ; nc_6_enable_to_pad : out bit
75 ; nc_7_enable_to_pad : out bit
76 ; nc_8_enable_to_pad : out bit
77 ; nc_9_enable_to_pad : out bit
78 ; sdram_a_0_enable_to_pad : out bit
79 ; sdram_a_10_enable_to_pad : out bit
80 ; sdram_a_11_enable_to_pad : out bit
81 ; sdram_a_12_enable_to_pad : out bit
82 ; sdram_a_1_enable_to_pad : out bit
83 ; sdram_a_2_enable_to_pad : out bit
84 ; sdram_a_3_enable_to_pad : out bit
85 ; sdram_a_4_enable_to_pad : out bit
86 ; sdram_a_5_enable_to_pad : out bit
87 ; sdram_a_6_enable_to_pad : out bit
88 ; sdram_a_7_enable_to_pad : out bit
89 ; sdram_a_8_enable_to_pad : out bit
90 ; sdram_a_9_enable_to_pad : out bit
91 ; sdram_ba_0_enable_to_pad : out bit
92 ; sdram_ba_1_enable_to_pad : out bit
93 ; sdram_cas_n_enable_to_pad : out bit
94 ; sdram_cas_n_to_pad : out bit
95 ; sdram_cke_enable_to_pad : out bit
96 ; sdram_cke_to_pad : out bit
97 ; sdram_clock_enable_to_pad : out bit
98 ; sdram_clock_to_pad : out bit
99 ; sdram_cs_n_enable_to_pad : out bit
100 ; sdram_cs_n_to_pad : out bit
101 ; sdram_dm_0_enable_to_pad : out bit
102 ; sdram_dm_1_enable_to_pad : out bit
103 ; sdram_ras_n_enable_to_pad : out bit
104 ; sdram_ras_n_to_pad : out bit
105 ; sdram_we_n_enable_to_pad : out bit
106 ; sdram_we_n_to_pad : out bit
107 ; spimaster_clk_enable_to_pad : out bit
108 ; spimaster_clk_to_pad : out bit
109 ; spimaster_cs_n_enable_to_pad : out bit
110 ; spimaster_cs_n_to_pad : out bit
111 ; spimaster_miso_enable_to_pad : out bit
112 ; spimaster_mosi_enable_to_pad : out bit
113 ; spimaster_mosi_to_pad : out bit
114 ; sys_clk_enable_to_pad : out bit
115 ; sys_rst_enable_to_pad : out bit
116 ; uart_rx_enable_to_pad : out bit
117 ; uart_tx_enable_to_pad : out bit
118 ; sdram_ba_to_pad : out bit_vector(1 downto 0)
119 ; sdram_dm_to_pad : out bit_vector(1 downto 0)
120 ; sdram_a_to_pad : out bit_vector(12 downto 0)
121 ; gpio_o_to_pad : out bit_vector(15 downto 0)
122 ; gpio_oe_to_pad : out bit_vector(15 downto 0)
123 ; sdram_dq_o_to_pad : out bit_vector(15 downto 0)
124 ; sdram_dq_oe_to_pad : out bit_vector(15 downto 0)
125 ; vdd : linkage bit
126 ; vss : linkage bit
127 );
128 end corona;
129
130 architecture structural of corona is
131
132 component ls180
133 port ( eint_0 : in bit
134 ; eint_1 : in bit
135 ; eint_2 : in bit
136 ; i2c_sda_i : in bit
137 ; jtag_tck : in bit
138 ; jtag_tdi : in bit
139 ; jtag_tms : in bit
140 ; spimaster_miso : in bit
141 ; sys_clk : in bit
142 ; sys_rst : in bit
143 ; uart_rx : in bit
144 ; uart_tx : in bit
145 ; gpio_i : in bit_vector(15 downto 0)
146 ; sdram_dq_i : in bit_vector(15 downto 0)
147 ; nc : in bit_vector(39 downto 0)
148 ; eint_0_enable : out bit
149 ; eint_1_enable : out bit
150 ; eint_2_enable : out bit
151 ; i2c_scl : out bit
152 ; i2c_scl_enable : out bit
153 ; i2c_sda_o : out bit
154 ; i2c_sda_oe : out bit
155 ; jtag_tck_enable : out bit
156 ; jtag_tdi_enable : out bit
157 ; jtag_tdo : out bit
158 ; jtag_tdo_enable : out bit
159 ; jtag_tms_enable : out bit
160 ; nc_0_enable : out bit
161 ; nc_10_enable : out bit
162 ; nc_11_enable : out bit
163 ; nc_12_enable : out bit
164 ; nc_13_enable : out bit
165 ; nc_14_enable : out bit
166 ; nc_15_enable : out bit
167 ; nc_16_enable : out bit
168 ; nc_17_enable : out bit
169 ; nc_18_enable : out bit
170 ; nc_19_enable : out bit
171 ; nc_1_enable : out bit
172 ; nc_20_enable : out bit
173 ; nc_21_enable : out bit
174 ; nc_22_enable : out bit
175 ; nc_23_enable : out bit
176 ; nc_24_enable : out bit
177 ; nc_25_enable : out bit
178 ; nc_26_enable : out bit
179 ; nc_27_enable : out bit
180 ; nc_28_enable : out bit
181 ; nc_29_enable : out bit
182 ; nc_2_enable : out bit
183 ; nc_30_enable : out bit
184 ; nc_31_enable : out bit
185 ; nc_32_enable : out bit
186 ; nc_33_enable : out bit
187 ; nc_34_enable : out bit
188 ; nc_35_enable : out bit
189 ; nc_36_enable : out bit
190 ; nc_37_enable : out bit
191 ; nc_38_enable : out bit
192 ; nc_39_enable : out bit
193 ; nc_3_enable : out bit
194 ; nc_4_enable : out bit
195 ; nc_5_enable : out bit
196 ; nc_6_enable : out bit
197 ; nc_7_enable : out bit
198 ; nc_8_enable : out bit
199 ; nc_9_enable : out bit
200 ; sdram_a_0_enable : out bit
201 ; sdram_a_10_enable : out bit
202 ; sdram_a_11_enable : out bit
203 ; sdram_a_12_enable : out bit
204 ; sdram_a_1_enable : out bit
205 ; sdram_a_2_enable : out bit
206 ; sdram_a_3_enable : out bit
207 ; sdram_a_4_enable : out bit
208 ; sdram_a_5_enable : out bit
209 ; sdram_a_6_enable : out bit
210 ; sdram_a_7_enable : out bit
211 ; sdram_a_8_enable : out bit
212 ; sdram_a_9_enable : out bit
213 ; sdram_ba_0_enable : out bit
214 ; sdram_ba_1_enable : out bit
215 ; sdram_cas_n : out bit
216 ; sdram_cas_n_enable : out bit
217 ; sdram_cke : out bit
218 ; sdram_cke_enable : out bit
219 ; sdram_clock : out bit
220 ; sdram_clock_enable : out bit
221 ; sdram_cs_n : out bit
222 ; sdram_cs_n_enable : out bit
223 ; sdram_dm_0_enable : out bit
224 ; sdram_dm_1_enable : out bit
225 ; sdram_ras_n : out bit
226 ; sdram_ras_n_enable : out bit
227 ; sdram_we_n : out bit
228 ; sdram_we_n_enable : out bit
229 ; spimaster_clk : out bit
230 ; spimaster_clk_enable : out bit
231 ; spimaster_cs_n : out bit
232 ; spimaster_cs_n_enable : out bit
233 ; spimaster_miso_enable : out bit
234 ; spimaster_mosi : out bit
235 ; spimaster_mosi_enable : out bit
236 ; sys_clk_enable : out bit
237 ; sys_rst_enable : out bit
238 ; uart_rx_enable : out bit
239 ; uart_tx_enable : out bit
240 ; sdram_ba : out bit_vector(1 downto 0)
241 ; sdram_dm : out bit_vector(1 downto 0)
242 ; sdram_a : out bit_vector(12 downto 0)
243 ; gpio_o : out bit_vector(15 downto 0)
244 ; gpio_oe : out bit_vector(15 downto 0)
245 ; sdram_dq_o : out bit_vector(15 downto 0)
246 ; sdram_dq_oe : out bit_vector(15 downto 0)
247 ; vdd : linkage bit
248 ; vss : linkage bit
249 );
250 end component;
251
252
253
254 begin
255
256 core : ls180
257 port map ( eint_0 => eint_0_from_pad
258 , eint_1 => eint_1_from_pad
259 , eint_2 => eint_2_from_pad
260 , i2c_sda_i => i2c_sda_i_from_pad
261 , jtag_tck => jtag_tck_from_pad
262 , jtag_tdi => jtag_tdi_from_pad
263 , jtag_tms => jtag_tms_from_pad
264 , spimaster_miso => spimaster_miso_from_pad
265 , sys_clk => sys_clk_from_pad
266 , sys_rst => sys_rst_from_pad
267 , uart_rx => uart_rx_from_pad
268 , uart_tx => uart_tx_from_pad
269 , gpio_i => gpio_i_from_pad(15 downto 0)
270 , sdram_dq_i => sdram_dq_i_from_pad(15 downto 0)
271 , nc => nc_from_pad(39 downto 0)
272 , eint_0_enable => eint_0_enable_to_pad
273 , eint_1_enable => eint_1_enable_to_pad
274 , eint_2_enable => eint_2_enable_to_pad
275 , i2c_scl => i2c_scl_to_pad
276 , i2c_scl_enable => i2c_scl_enable_to_pad
277 , i2c_sda_o => i2c_sda_o_to_pad
278 , i2c_sda_oe => i2c_sda_oe_to_pad
279 , jtag_tck_enable => jtag_tck_enable_to_pad
280 , jtag_tdi_enable => jtag_tdi_enable_to_pad
281 , jtag_tdo => jtag_tdo_to_pad
282 , jtag_tdo_enable => jtag_tdo_enable_to_pad
283 , jtag_tms_enable => jtag_tms_enable_to_pad
284 , nc_0_enable => nc_0_enable_to_pad
285 , nc_10_enable => nc_10_enable_to_pad
286 , nc_11_enable => nc_11_enable_to_pad
287 , nc_12_enable => nc_12_enable_to_pad
288 , nc_13_enable => nc_13_enable_to_pad
289 , nc_14_enable => nc_14_enable_to_pad
290 , nc_15_enable => nc_15_enable_to_pad
291 , nc_16_enable => nc_16_enable_to_pad
292 , nc_17_enable => nc_17_enable_to_pad
293 , nc_18_enable => nc_18_enable_to_pad
294 , nc_19_enable => nc_19_enable_to_pad
295 , nc_1_enable => nc_1_enable_to_pad
296 , nc_20_enable => nc_20_enable_to_pad
297 , nc_21_enable => nc_21_enable_to_pad
298 , nc_22_enable => nc_22_enable_to_pad
299 , nc_23_enable => nc_23_enable_to_pad
300 , nc_24_enable => nc_24_enable_to_pad
301 , nc_25_enable => nc_25_enable_to_pad
302 , nc_26_enable => nc_26_enable_to_pad
303 , nc_27_enable => nc_27_enable_to_pad
304 , nc_28_enable => nc_28_enable_to_pad
305 , nc_29_enable => nc_29_enable_to_pad
306 , nc_2_enable => nc_2_enable_to_pad
307 , nc_30_enable => nc_30_enable_to_pad
308 , nc_31_enable => nc_31_enable_to_pad
309 , nc_32_enable => nc_32_enable_to_pad
310 , nc_33_enable => nc_33_enable_to_pad
311 , nc_34_enable => nc_34_enable_to_pad
312 , nc_35_enable => nc_35_enable_to_pad
313 , nc_36_enable => nc_36_enable_to_pad
314 , nc_37_enable => nc_37_enable_to_pad
315 , nc_38_enable => nc_38_enable_to_pad
316 , nc_39_enable => nc_39_enable_to_pad
317 , nc_3_enable => nc_3_enable_to_pad
318 , nc_4_enable => nc_4_enable_to_pad
319 , nc_5_enable => nc_5_enable_to_pad
320 , nc_6_enable => nc_6_enable_to_pad
321 , nc_7_enable => nc_7_enable_to_pad
322 , nc_8_enable => nc_8_enable_to_pad
323 , nc_9_enable => nc_9_enable_to_pad
324 , sdram_a_0_enable => sdram_a_0_enable_to_pad
325 , sdram_a_10_enable => sdram_a_10_enable_to_pad
326 , sdram_a_11_enable => sdram_a_11_enable_to_pad
327 , sdram_a_12_enable => sdram_a_12_enable_to_pad
328 , sdram_a_1_enable => sdram_a_1_enable_to_pad
329 , sdram_a_2_enable => sdram_a_2_enable_to_pad
330 , sdram_a_3_enable => sdram_a_3_enable_to_pad
331 , sdram_a_4_enable => sdram_a_4_enable_to_pad
332 , sdram_a_5_enable => sdram_a_5_enable_to_pad
333 , sdram_a_6_enable => sdram_a_6_enable_to_pad
334 , sdram_a_7_enable => sdram_a_7_enable_to_pad
335 , sdram_a_8_enable => sdram_a_8_enable_to_pad
336 , sdram_a_9_enable => sdram_a_9_enable_to_pad
337 , sdram_ba_0_enable => sdram_ba_0_enable_to_pad
338 , sdram_ba_1_enable => sdram_ba_1_enable_to_pad
339 , sdram_cas_n => sdram_cas_n_to_pad
340 , sdram_cas_n_enable => sdram_cas_n_enable_to_pad
341 , sdram_cke => sdram_cke_to_pad
342 , sdram_cke_enable => sdram_cke_enable_to_pad
343 , sdram_clock => sdram_clock_to_pad
344 , sdram_clock_enable => sdram_clock_enable_to_pad
345 , sdram_cs_n => sdram_cs_n_to_pad
346 , sdram_cs_n_enable => sdram_cs_n_enable_to_pad
347 , sdram_dm_0_enable => sdram_dm_0_enable_to_pad
348 , sdram_dm_1_enable => sdram_dm_1_enable_to_pad
349 , sdram_ras_n => sdram_ras_n_to_pad
350 , sdram_ras_n_enable => sdram_ras_n_enable_to_pad
351 , sdram_we_n => sdram_we_n_to_pad
352 , sdram_we_n_enable => sdram_we_n_enable_to_pad
353 , spimaster_clk => spimaster_clk_to_pad
354 , spimaster_clk_enable => spimaster_clk_enable_to_pad
355 , spimaster_cs_n => spimaster_cs_n_to_pad
356 , spimaster_cs_n_enable => spimaster_cs_n_enable_to_pad
357 , spimaster_miso_enable => spimaster_miso_enable_to_pad
358 , spimaster_mosi => spimaster_mosi_to_pad
359 , spimaster_mosi_enable => spimaster_mosi_enable_to_pad
360 , sys_clk_enable => sys_clk_enable_to_pad
361 , sys_rst_enable => sys_rst_enable_to_pad
362 , uart_rx_enable => uart_rx_enable_to_pad
363 , uart_tx_enable => uart_tx_enable_to_pad
364 , sdram_ba => sdram_ba_to_pad(1 downto 0)
365 , sdram_dm => sdram_dm_to_pad(1 downto 0)
366 , sdram_a => sdram_a_to_pad(12 downto 0)
367 , gpio_o => gpio_o_to_pad(15 downto 0)
368 , gpio_oe => gpio_oe_to_pad(15 downto 0)
369 , sdram_dq_o => sdram_dq_o_to_pad(15 downto 0)
370 , sdram_dq_oe => sdram_dq_oe_to_pad(15 downto 0)
371 , vdd => vdd
372 , vss => vss
373 );
374
375 end structural;
376