66e8300845ad175d0ddf7941738322e5f9aae714
[dev-env-setup.git] / nextpnr-xilinx-install
1 #!/bin/bash
2 if [ "$EUID" -ne 0 ]
3 then echo "Please run as root using 'sudo bash'"
4 exit
5 fi
6
7 # change into $SUDO_USER home directory
8 cd /home/$SUDO_USER
9 mkdir -p src/nextpnr-xilinx
10 cd src/nextpnr-xilinx
11
12 apt-get install -y libcurl3-gnutls git git-man
13
14 git clone https://github.com/f4pga/prjxray.git
15 git clone https://github.com/SymbiFlow/prjxray-db.git
16 git clone https://github.com/gatecat/nextpnr-xilinx.git
17
18 ## Steps to compile prjxray
19
20 ### Necessary software to install
21
22 apt-get install -y build-essential make cmake python3 python3-setuptools \
23 python3-dev python3-numpy cython3 python3-pip
24
25 pip3 install textx
26
27 sudo apt install cmake default-jre-headless uuid-dev libantlr4-runtime-dev
28
29 apt-get install -y wget
30 wget https://files.pythonhosted.org/packages/78/4c/94fb3bdb87bea21406c0e5da375f0b10d7b1e4b5103cea453a2de23b5d61/fasm-0.0.2.post88.tar.gz
31 tar -xvzf fasm-0.0.2.post88.tar.gz
32 cd fasm-0.0.2.post88
33 python3 setup.py install
34 cd ..
35
36 ### Build prjxray
37
38 cd prjxray
39 git checkout 18b92012afe2b03f3f975a78c4372c74b60dca0c
40 git submodule update --init --recursive
41 mkdir build; cd build
42 cmake -DCMAKE_INSTALL_PREFIX=/usr/local/nextpnr-xilinx ..
43 make -j$(nproc)
44 make install
45 install -d -m 0755 /usr/local/nextpnr-xilinx/build/tools
46 install -m 0755 tools/{bitread,bittool,frame_address_decoder,gen_part_base_yaml,segmatch,xc7frames2bit,xc7patch} \
47 /usr/local/nextpnr-xilinx/build/tools
48 cd ..
49 cp -dpr utils /usr/local/nextpnr-xilinx
50 sed -i -e '/^# Vivado /,$d' /usr/local/nextpnr-xilinx/utils/environment.sh
51 python3 setup.py develop
52 cd ..
53
54 ## Steps to compile prjxray-db
55
56 ### Install prjxray-db
57
58 cd prjxray-db
59 git archive --format=tar --prefix=database/ \
60 0a0addedd73e7e4139d52a6d8db4258763e0f1f3 | \
61 tar -C /usr/local/nextpnr-xilinx -xf -
62 cd ..
63
64 ## Steps to compile nextpnr-xilinx
65
66 ### Necessary software to install
67
68 apt-get install -y libboost-thread-dev libboost-iostreams-dev \
69 libboost-program-options-dev libeigen3-dev libboost-python-dev \
70 libboost-filesystem-dev
71
72 ### Build nextpnr-xilinx
73
74 cd nextpnr-xilinx
75 git checkout 565588a69ea95a52f7c7592f4ed81d9bef6cfb60
76 git submodule init
77 git submodule update
78 cmake -DARCH=xilinx -DBUILD_GUI=OFF \
79 -DCMAKE_INSTALL_PREFIX=/usr/local/nextpnr-xilinx .
80 make -j$(nproc)
81 make install
82 ln -s xc7a100tcsg324-1 xilinx/external/prjxray-db/artix7/xc7a100t
83 python3 xilinx/python/bbaexport.py --device xc7a100tcsg324-1 \
84 --bba xilinx/xc7a100t.bba
85 ./bbasm --l xilinx/xc7a100t.bba xilinx/xc7a100t.bin
86 install -d -m 0755 /usr/local/nextpnr-xilinx/share/xilinx
87 install -m 0755 xilinx/xc7a100t.bin /usr/local/nextpnr-xilinx/share/xilinx
88 export XRAY_DIR=/usr/local/nextpnr-xilinx
89 cd ..
90
91 cd /home/$SUDO_USER/src/nextpnr-xilinx
92 chown -R $SUDO_USER .
93 chgrp -R $SUDO_USER .
94