Fix IO_STANDARD on all iCE40 boards.
[nmigen-boards.git] / nmigen_boards / blackice_ii.py
1 import os
2 import subprocess
3
4 from nmigen.build import *
5 from nmigen.vendor.lattice_ice40 import *
6 from .dev import *
7
8
9 __all__ = ["BlackIceIIPlatform"]
10
11
12 class BlackIceIIPlatform(LatticeICE40Platform):
13 device = "iCE40HX4K"
14 package = "TQ144"
15 default_clk = "clk100"
16 resources = [
17 Resource("clk100", 0, Pins("129", dir="i"),
18 Clock(100e6), Attrs(GLOBAL=True, IO_STANDARD="SB_LVCMOS")
19 ),
20
21 Resource("user_led", 0, Pins("71", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")),
22 Resource("user_led", 1, Pins("67", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")),
23 Resource("user_led", 2, Pins("68", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")),
24 Resource("user_led", 3, Pins("70", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")),
25 # Color aliases
26 Resource("user_ledb", 0, Pins("71", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")),
27 Resource("user_ledg", 0, Pins("67", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")),
28 Resource("user_ledo", 0, Pins("68", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")),
29 Resource("user_ledr", 0, Pins("70", dir="o"), Attrs(IO_STANDARD="SB_LVCMOS")),
30
31 Resource("user_btn", 0, PinsN("63", dir="i"), Attrs(IO_STANDARD="SB_LVCMOS")),
32 Resource("user_btn", 1, PinsN("64", dir="i"), Attrs(IO_STANDARD="SB_LVCMOS")),
33
34 Resource("user_sw", 0, PinsN("37", dir="i"), Attrs(IO_STANDARD="SB_LVCMOS")),
35 Resource("user_sw", 1, PinsN("38", dir="i"), Attrs(IO_STANDARD="SB_LVCMOS")),
36 Resource("user_sw", 2, PinsN("39", dir="i"), Attrs(IO_STANDARD="SB_LVCMOS")),
37 Resource("user_sw", 3, PinsN("41", dir="i"), Attrs(IO_STANDARD="SB_LVCMOS")),
38
39 UARTResource(0,
40 rx="88", tx="85", rts="91", cts="94",
41 attrs=Attrs(IO_STANDARD="SB_LVCMOS", PULLUP=1)
42 ),
43
44 SRAMResource(0,
45 cs="136", oe="29", we="120",
46 a="137 138 139 141 142 42 43 44 73 74 75 76 115 116 117 118 119 78",
47 d="136 135 134 130 125 124 122 121 62 61 60 56 55 48 47 45",
48 dm="24 28",
49 attrs=Attrs(IO_STANDARD="SB_LVCMOS"),
50 ),
51 ]
52 connectors = [
53 Connector("pmod", 0, " 94 91 88 85 - - 95 93 90 87 - -"), # PMOD1/2
54 Connector("pmod", 1, "105 102 99 97 - - 104 101 98 96 - -"), # PMOD3/4
55 Connector("pmod", 2, "143 114 112 107 - - 144 113 110 106 - -"), # PMOD5/6
56 Connector("pmod", 3, " 10 9 2 1 - - 8 7 4 3 - -"), # PMOD7/8
57 Connector("pmod", 4, " 20 19 16 15 - - 18 17 12 11 - -"), # PMOD9/10
58 Connector("pmod", 5, " 34 33 22 21 - - 32 31 26 25 - -"), # PMOD11/12
59 Connector("pmod", 6, " 37 38 39 41 - -"), # PMOD13
60 Connector("pmod", 7, " 71 67 68 70 - -"), # PMOD14
61 ]
62
63 def toolchain_program(self, products, name):
64 with products.extract("{}.bin".format(name)) as bitstream_filename:
65 subprocess.check_call(["cp", bitstream_filename, "/dev/ttyACM0"])
66
67
68 if __name__ == "__main__":
69 from ._blinky import Blinky
70 BlackIceIIPlatform().build(Blinky(), do_program=True)