Automatic engine selection
[SymbiYosys.git] / sbysrc / sby_mode_prove.py
1 #
2 # SymbiYosys (sby) -- Front-end for Yosys-based formal verification flows
3 #
4 # Copyright (C) 2016 Claire Xenia Wolf <claire@yosyshq.com>
5 #
6 # Permission to use, copy, modify, and/or distribute this software for any
7 # purpose with or without fee is hereby granted, provided that the above
8 # copyright notice and this permission notice appear in all copies.
9 #
10 # THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
11 # WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
12 # MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
13 # ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES
14 # WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN
15 # ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF
16 # OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.
17 #
18
19 import re, os, getopt
20 from sby_core import SbyProc
21
22 def run(task):
23 task.handle_int_option("depth", 20)
24 task.handle_int_option("append", 0)
25 task.handle_str_option("aigsmt", "yices")
26
27 task.status = "UNKNOWN"
28
29 task.basecase_pass = False
30 task.induction_pass = False
31 task.basecase_procs = list()
32 task.induction_procs = list()
33
34 for engine_idx, engine in task.engine_list():
35 assert len(engine) > 0
36
37 task.log(f"""engine_{engine_idx}: {" ".join(engine)}""")
38 task.makedirs(f"{task.workdir}/engine_{engine_idx}")
39
40 if engine[0] == "smtbmc":
41 import sby_engine_smtbmc
42 sby_engine_smtbmc.run("prove", task, engine_idx, engine)
43
44 elif engine[0] == "aiger":
45 import sby_engine_aiger
46 sby_engine_aiger.run("prove", task, engine_idx, engine)
47
48 elif engine[0] == "abc":
49 import sby_engine_abc
50 sby_engine_abc.run("prove", task, engine_idx, engine)
51
52 else:
53 task.error(f"Invalid engine '{engine[0]}' for prove mode.")