guessing / experimenting...
[ieee754fpu.git] / src / add / fsqrt.py
1 from sfpy import Float32
2
3
4 # XXX DO NOT USE, fails on num=65536. wark-wark...
5 def sqrtsimple(num):
6 res = 0
7 bit = 1
8
9 while (bit < num):
10 bit <<= 2
11
12 while (bit != 0):
13 if (num >= res + bit):
14 num -= res + bit
15 res = (res >> 1) + bit
16 else:
17 res >>= 1
18 bit >>= 2
19
20 return res
21
22
23 def sqrt(num):
24 D = num # D is input (from num)
25 Q = 0
26 R = 0
27 r = 0 # remainder
28 for i in range(64, -1, -1): # negative ranges are weird...
29
30 if (R>=0):
31
32 R = (R<<2)|((D>>(i+i))&3)
33 R = R-((Q<<2)|1) #/*-Q01*/
34
35 else:
36
37 R = (R<<2)|((D>>(i+i))&3)
38 R = R+((Q<<2)|3) #/*+Q11*/
39
40 if (R>=0):
41 Q = (Q<<1)|1 #/*new Q:*/
42 else:
43 Q = (Q<<1)|0 #/*new Q:*/
44
45
46 if (R<0):
47 R = R+((Q<<1)|1)
48 r = R
49 return Q
50
51
52 # grabbed these from unit_test_single (convenience, this is just experimenting)
53
54 def get_mantissa(x):
55 return 0x7fffff & x
56
57 def get_exponent(x):
58 return ((x & 0x7f800000) >> 23) - 127
59
60 def set_exponent(x, e):
61 return (x & ~0x7f800000) | ((e+127) << 23)
62
63 def get_sign(x):
64 return ((x & 0x80000000) >> 31)
65
66 # convert FP32 to s/e/m
67 def create_fp32(s, e, m):
68 """ receive sign, exponent, mantissa, return FP32 """
69 return set_exponent((s << 31) | get_mantissa(m))
70
71 # convert s/e/m to FP32
72 def decode_fp32(x):
73 """ receive FP32, return sign, exponent, mantissa """
74 return get_sign(x), get_exponent(x), get_mantissa(x)
75
76
77 # main function, takes mantissa and exponent as separate arguments
78 # returns a tuple, sqrt'd mantissa, sqrt'd exponent
79
80 def main(mantissa, exponent):
81 if exponent & 1 != 0:
82 # shift mantissa up, subtract 1 from exp to compensate
83 return sqrt(mantissa << 1), (exponent - 1) >> 1
84 # mantissa as-is, no compensating needed on exp
85 return sqrt(mantissa), (exponent >> 1)
86
87
88 def fsqrt_test(x):
89
90 xbits = x.bits
91 print ("x", x, type(x))
92 sq_test = x.sqrt()
93 print ("sqrt", sq_test)
94
95 print (xbits, type(xbits))
96 s, e, m = decode_fp32(xbits)
97 print("x decode", s, e, m, hex(m))
98
99 m |= 1<<24 # set top bit (the missing "1" from mantissa)
100 m <<= 24
101
102 sm, se = main(m, e)
103 sm >>= 1
104 sm = get_mantissa(sm)
105 #sm += 2
106 print("our sqrt", s, se, sm, hex(sm), bin(sm))
107
108 sq_xbits = sq_test.bits
109 s, e, m = decode_fp32(sq_xbits)
110 print ("sf32 sqrt", s, e, m, hex(m), bin(m))
111 print ()
112
113 if __name__ == '__main__':
114
115 # quick test up to 1000 of two sqrt functions
116 for Q in range(1, int(1e4)):
117 print(Q, sqrt(Q), sqrtsimple(Q), int(Q**0.5))
118 assert int(Q**0.5) == sqrtsimple(Q), "Q sqrtsimpl fail %d" % Q
119 assert int(Q**0.5) == sqrt(Q), "Q sqrt fail %d" % Q
120
121 # quick mantissa/exponent demo
122 for e in range(26):
123 for m in range(26):
124 ms, es = main(m, e)
125 print("m:%d e:%d sqrt: m:%d e:%d" % (m, e, ms, es))
126
127 x = Float32(1234.123456789)
128 fsqrt_test(x)
129 x = Float32(32.1)
130 fsqrt_test(x)
131 x = Float32(16.0)
132 fsqrt_test(x)
133 x = Float32(8.0)
134 fsqrt_test(x)
135 x = Float32(8.5)
136 fsqrt_test(x)
137
138 """
139
140 Notes:
141 https://pdfs.semanticscholar.org/5060/4e9aff0e37089c4ab9a376c3f35761ffe28b.pdf
142
143 //This is the main code of integer sqrt function found here:http://verilogcodes.blogspot.com/2017/11/a-verilog-function-for-finding-square-root.html
144 //
145
146 module testbench;
147
148 reg [15:0] sqr;
149
150 //Verilog function to find square root of a 32 bit number.
151 //The output is 16 bit.
152 function [15:0] sqrt;
153 input [31:0] num; //declare input
154 //intermediate signals.
155 reg [31:0] a;
156 reg [15:0] q;
157 reg [17:0] left,right,r;
158 integer i;
159 begin
160 //initialize all the variables.
161 a = num;
162 q = 0;
163 i = 0;
164 left = 0; //input to adder/sub
165 right = 0; //input to adder/sub
166 r = 0; //remainder
167 //run the calculations for 16 iterations.
168 for(i=0;i<16;i=i+1) begin
169 right = {q,r[17],1'b1};
170 left = {r[15:0],a[31:30]};
171 a = {a[29:0],2'b00}; //left shift by 2 bits.
172 if (r[17] == 1) //add if r is negative
173 r = left + right;
174 else //subtract if r is positive
175 r = left - right;
176 q = {q[14:0],!r[17]};
177 end
178 sqrt = q; //final assignment of output.
179 end
180 endfunction //end of Function
181
182
183 c version (from paper linked from URL)
184
185 unsigned squart(D, r) /*Non-Restoring sqrt*/
186 unsigned D; /*D:32-bit unsigned integer to be square rooted */
187 int *r;
188 {
189 unsigned Q = 0; /*Q:16-bit unsigned integer (root)*/
190 int R = 0; /*R:17-bit integer (remainder)*/
191 int i;
192 for (i = 15;i>=0;i--) /*for each root bit*/
193 {
194 if (R>=0)
195 { /*new remainder:*/
196 R = R<<2)|((D>>(i+i))&3);
197 R = R-((Q<<2)|1); /*-Q01*/
198 }
199 else
200 { /*new remainder:*/
201 R = R<<2)|((D>>(i+i))&3);
202 R = R+((Q<<2)|3); /*+Q11*/
203 }
204 if (R>=0) Q = Q<<1)|1; /*new Q:*/
205 else Q = Q<<1)|0; /*new Q:*/
206 }
207
208 /*remainder adjusting*/
209 if (R<0) R = R+((Q<<1)|1);
210 *r = R; /*return remainder*/
211 return(Q); /*return root*/
212 }
213
214 From wikipedia page:
215
216 short isqrt(short num) {
217 short res = 0;
218 short bit = 1 << 14; // The second-to-top bit is set: 1 << 30 for 32 bits
219
220 // "bit" starts at the highest power of four <= the argument.
221 while (bit > num)
222 bit >>= 2;
223
224 while (bit != 0) {
225 if (num >= res + bit) {
226 num -= res + bit;
227 res = (res >> 1) + bit;
228 }
229 else
230 res >>= 1;
231 bit >>= 2;
232 }
233 return res;
234 }
235
236 """