move add1 stage to separate class
[ieee754fpu.git] / src / add / nmigen_add_experiment.py
1 # IEEE Floating Point Adder (Single Precision)
2 # Copyright (C) Jonathan P Dawson 2013
3 # 2013-12-12
4
5 from nmigen import Module, Signal, Cat
6 from nmigen.cli import main, verilog
7
8 from fpbase import FPNumIn, FPNumOut, FPOp, Overflow, FPBase
9
10
11 class FPState(FPBase):
12 def __init__(self, state_from):
13 self.state_from = state_from
14
15 def set_inputs(self, inputs):
16 self.inputs = inputs
17 for k,v in inputs.items():
18 setattr(self, k, v)
19
20 def set_outputs(self, outputs):
21 self.outputs = outputs
22 for k,v in outputs.items():
23 setattr(self, k, v)
24
25
26 class FPGetOpA(FPState):
27
28 def action(self, m):
29 self.get_op(m, self.in_a, self.a, "get_b")
30
31
32 class FPGetOpB(FPState):
33
34 def action(self, m):
35 self.get_op(m, self.in_b, self.b, "special_cases")
36
37
38 class FPAddSpecialCases(FPState):
39
40 def action(self, m):
41 """ special cases: NaNs, infs, zeros, denormalised
42 NOTE: some of these are unique to add. see "Special Operations"
43 https://steve.hollasch.net/cgindex/coding/ieeefloat.html
44 """
45 s_nomatch = Signal()
46 m.d.comb += s_nomatch.eq(self.a.s != self.b.s)
47
48 m_match = Signal()
49 m.d.comb += m_match.eq(self.a.m == self.b.m)
50
51 # if a is NaN or b is NaN return NaN
52 with m.If(self.a.is_nan | self.b.is_nan):
53 m.next = "put_z"
54 m.d.sync += self.z.nan(1)
55
56 # XXX WEIRDNESS for FP16 non-canonical NaN handling
57 # under review
58
59 ## if a is zero and b is NaN return -b
60 #with m.If(a.is_zero & (a.s==0) & b.is_nan):
61 # m.next = "put_z"
62 # m.d.sync += z.create(b.s, b.e, Cat(b.m[3:-2], ~b.m[0]))
63
64 ## if b is zero and a is NaN return -a
65 #with m.Elif(b.is_zero & (b.s==0) & a.is_nan):
66 # m.next = "put_z"
67 # m.d.sync += z.create(a.s, a.e, Cat(a.m[3:-2], ~a.m[0]))
68
69 ## if a is -zero and b is NaN return -b
70 #with m.Elif(a.is_zero & (a.s==1) & b.is_nan):
71 # m.next = "put_z"
72 # m.d.sync += z.create(a.s & b.s, b.e, Cat(b.m[3:-2], 1))
73
74 ## if b is -zero and a is NaN return -a
75 #with m.Elif(b.is_zero & (b.s==1) & a.is_nan):
76 # m.next = "put_z"
77 # m.d.sync += z.create(a.s & b.s, a.e, Cat(a.m[3:-2], 1))
78
79 # if a is inf return inf (or NaN)
80 with m.Elif(self.a.is_inf):
81 m.next = "put_z"
82 m.d.sync += self.z.inf(self.a.s)
83 # if a is inf and signs don't match return NaN
84 with m.If(self.b.exp_128 & s_nomatch):
85 m.d.sync += self.z.nan(1)
86
87 # if b is inf return inf
88 with m.Elif(self.b.is_inf):
89 m.next = "put_z"
90 m.d.sync += self.z.inf(self.b.s)
91
92 # if a is zero and b zero return signed-a/b
93 with m.Elif(self.a.is_zero & self.b.is_zero):
94 m.next = "put_z"
95 m.d.sync += self.z.create(self.a.s & self.b.s, self.b.e,
96 self.b.m[3:-1])
97
98 # if a is zero return b
99 with m.Elif(self.a.is_zero):
100 m.next = "put_z"
101 m.d.sync += self.z.create(self.b.s, self.b.e, self.b.m[3:-1])
102
103 # if b is zero return a
104 with m.Elif(self.b.is_zero):
105 m.next = "put_z"
106 m.d.sync += self.z.create(self.a.s, self.a.e, self.a.m[3:-1])
107
108 # if a equal to -b return zero (+ve zero)
109 with m.Elif(s_nomatch & m_match & (self.a.e == self.b.e)):
110 m.next = "put_z"
111 m.d.sync += self.z.zero(0)
112
113 # Denormalised Number checks
114 with m.Else():
115 m.next = "denormalise"
116
117
118 class FPAddDeNorm(FPState):
119
120 def action(self, m):
121 # Denormalised Number checks
122 m.next = "align"
123 self.denormalise(m, self.a)
124 self.denormalise(m, self.b)
125
126
127 class FPAddAlignMulti(FPState):
128
129 def action(self, m):
130 # NOTE: this does *not* do single-cycle multi-shifting,
131 # it *STAYS* in the align state until exponents match
132
133 # exponent of a greater than b: shift b down
134 with m.If(self.a.e > self.b.e):
135 m.d.sync += self.b.shift_down()
136 # exponent of b greater than a: shift a down
137 with m.Elif(self.a.e < self.b.e):
138 m.d.sync += self.a.shift_down()
139 # exponents equal: move to next stage.
140 with m.Else():
141 m.next = "add_0"
142
143
144 class FPAddAlignSingle(FPState):
145
146 def action(self, m):
147 # This one however (single-cycle) will do the shift
148 # in one go.
149
150 # XXX TODO: the shifter used here is quite expensive
151 # having only one would be better
152
153 ediff = Signal((len(self.a.e), True), reset_less=True)
154 ediffr = Signal((len(self.a.e), True), reset_less=True)
155 m.d.comb += ediff.eq(self.a.e - self.b.e)
156 m.d.comb += ediffr.eq(self.b.e - self.a.e)
157 with m.If(ediff > 0):
158 m.d.sync += self.b.shift_down_multi(ediff)
159 # exponent of b greater than a: shift a down
160 with m.Elif(ediff < 0):
161 m.d.sync += self.a.shift_down_multi(ediffr)
162
163 m.next = "add_0"
164
165
166 class FPAddStage0(FPState):
167
168 def action(self, m):
169 """ First stage of add. covers same-sign (add) and subtract
170 special-casing when mantissas are greater or equal, to
171 give greatest accuracy.
172 """
173 m.next = "add_1"
174 m.d.sync += self.z.e.eq(self.a.e)
175 # same-sign (both negative or both positive) add mantissas
176 with m.If(self.a.s == self.b.s):
177 m.d.sync += [
178 self.tot.eq(Cat(self.a.m, 0) + Cat(self.b.m, 0)),
179 self.z.s.eq(self.a.s)
180 ]
181 # a mantissa greater than b, use a
182 with m.Elif(self.a.m >= self.b.m):
183 m.d.sync += [
184 self.tot.eq(Cat(self.a.m, 0) - Cat(self.b.m, 0)),
185 self.z.s.eq(self.a.s)
186 ]
187 # b mantissa greater than a, use b
188 with m.Else():
189 m.d.sync += [
190 self.tot.eq(Cat(self.b.m, 0) - Cat(self.a.m, 0)),
191 self.z.s.eq(self.b.s)
192 ]
193
194
195 """ Second stage of add: preparation for normalisation.
196 detects when tot sum is too big (tot[27] is kinda a carry bit)
197 """
198
199 class FPAddStage1(FPState):
200
201 def action(self, m):
202 m.next = "normalise_1"
203 # tot[27] gets set when the sum overflows. shift result down
204 with m.If(self.tot[-1]):
205 m.d.sync += [
206 self.z.m.eq(self.tot[4:]),
207 self.of.m0.eq(self.tot[4]),
208 self.of.guard.eq(self.tot[3]),
209 self.of.round_bit.eq(self.tot[2]),
210 self.of.sticky.eq(self.tot[1] | self.tot[0]),
211 self.z.e.eq(self.z.e + 1)
212 ]
213 # tot[27] zero case
214 with m.Else():
215 m.d.sync += [
216 self.z.m.eq(self.tot[3:]),
217 self.of.m0.eq(self.tot[3]),
218 self.of.guard.eq(self.tot[2]),
219 self.of.round_bit.eq(self.tot[1]),
220 self.of.sticky.eq(self.tot[0])
221 ]
222
223
224 class FPADD(FPBase):
225
226 def __init__(self, width, single_cycle=False):
227 FPBase.__init__(self)
228 self.width = width
229 self.single_cycle = single_cycle
230
231 self.in_a = FPOp(width)
232 self.in_b = FPOp(width)
233 self.out_z = FPOp(width)
234
235 def get_fragment(self, platform=None):
236 """ creates the HDL code-fragment for FPAdd
237 """
238 m = Module()
239
240 # Latches
241 a = FPNumIn(self.in_a, self.width)
242 b = FPNumIn(self.in_b, self.width)
243 z = FPNumOut(self.width, False)
244
245 m.submodules.fpnum_a = a
246 m.submodules.fpnum_b = b
247 m.submodules.fpnum_z = z
248
249 w = z.m_width + 4
250 tot = Signal(w, reset_less=True) # sticky/round/guard, {mantissa} result, 1 overflow
251
252 of = Overflow()
253 m.submodules.overflow = of
254
255 geta = FPGetOpA("get_a")
256 geta.set_inputs({"in_a": self.in_a})
257 geta.set_outputs({"a": a})
258 m.d.comb += a.v.eq(self.in_a.v) # links in_a to a
259
260 getb = FPGetOpB("get_b")
261 getb.set_inputs({"in_b": self.in_b})
262 getb.set_outputs({"b": b})
263 m.d.comb += b.v.eq(self.in_b.v) # links in_b to b
264
265 sc = FPAddSpecialCases("special_cases")
266 sc.set_inputs({"a": a, "b": b})
267 sc.set_outputs({"z": z})
268
269 dn = FPAddDeNorm("denormalise")
270 dn.set_inputs({"a": a, "b": b})
271 dn.set_outputs({"a": a, "b": b}) # XXX outputs same as inputs
272
273 if self.single_cycle:
274 alm = FPAddAlignSingle("align")
275 else:
276 alm = FPAddAlignMulti("align")
277 alm.set_inputs({"a": a, "b": b})
278 alm.set_outputs({"a": a, "b": b}) # XXX outputs same as inputs
279
280 add0 = FPAddStage0("add_0")
281 add0.set_inputs({"a": a, "b": b})
282 add0.set_outputs({"z": z, "tot": tot})
283
284 add1 = FPAddStage1("add_1")
285 add1.set_inputs({"tot": tot, "z": z}) # Z input passes through
286 add1.set_outputs({"z": z, "of": of}) # XXX Z as output
287
288 with m.FSM() as fsm:
289
290 # ******
291 # gets operand a
292
293 with m.State("get_a"):
294 geta.action(m)
295
296 # ******
297 # gets operand b
298
299 with m.State("get_b"):
300 #self.get_op(m, self.in_b, b, "special_cases")
301 getb.action(m)
302
303 # ******
304 # special cases: NaNs, infs, zeros, denormalised
305 # NOTE: some of these are unique to add. see "Special Operations"
306 # https://steve.hollasch.net/cgindex/coding/ieeefloat.html
307
308 with m.State("special_cases"):
309 sc.action(m)
310
311 # ******
312 # denormalise.
313
314 with m.State("denormalise"):
315 dn.action(m)
316
317 # ******
318 # align.
319
320 with m.State("align"):
321 alm.action(m)
322
323 # ******
324 # First stage of add. covers same-sign (add) and subtract
325 # special-casing when mantissas are greater or equal, to
326 # give greatest accuracy.
327
328 with m.State("add_0"):
329 add0.action(m)
330
331 # ******
332 # Second stage of add: preparation for normalisation.
333 # detects when tot sum is too big (tot[27] is kinda a carry bit)
334
335 with m.State("add_1"):
336 add1.action(m)
337
338 # ******
339 # First stage of normalisation.
340
341 with m.State("normalise_1"):
342 self.normalise_1(m, z, of, "normalise_2")
343
344 # ******
345 # Second stage of normalisation.
346
347 with m.State("normalise_2"):
348 self.normalise_2(m, z, of, "round")
349
350 # ******
351 # rounding stage
352
353 with m.State("round"):
354 self.roundz(m, z, of, "corrections")
355
356 # ******
357 # correction stage
358
359 with m.State("corrections"):
360 self.corrections(m, z, "pack")
361
362 # ******
363 # pack stage
364
365 with m.State("pack"):
366 self.pack(m, z, "put_z")
367
368 # ******
369 # put_z stage
370
371 with m.State("put_z"):
372 self.put_z(m, z, self.out_z, "get_a")
373
374 return m
375
376
377 if __name__ == "__main__":
378 alu = FPADD(width=32)
379 main(alu, ports=alu.in_a.ports() + alu.in_b.ports() + alu.out_z.ports())
380
381
382 # works... but don't use, just do "python fname.py convert -t v"
383 #print (verilog.convert(alu, ports=[
384 # ports=alu.in_a.ports() + \
385 # alu.in_b.ports() + \
386 # alu.out_z.ports())