add comment
[ieee754fpu.git] / src / add / nmigen_add_experiment.py
1 # IEEE Floating Point Adder (Single Precision)
2 # Copyright (C) Jonathan P Dawson 2013
3 # 2013-12-12
4
5 from nmigen import Module, Signal, Cat
6 from nmigen.cli import main, verilog
7
8 from fpbase import FPNumIn, FPNumOut, FPOp, Overflow, FPBase
9
10
11 class FPState(FPBase):
12 def __init__(self, state_from):
13 self.state_from = state_from
14
15 def set_inputs(self, inputs):
16 self.inputs = inputs
17 for k,v in inputs.items():
18 setattr(self, k, v)
19
20 def set_outputs(self, outputs):
21 self.outputs = outputs
22 for k,v in outputs.items():
23 setattr(self, k, v)
24
25
26 class FPGetOpA(FPState):
27
28 def action(self, m):
29 self.get_op(m, self.in_a, self.a, "get_b")
30
31
32 class FPGetOpB(FPState):
33
34 def action(self, m):
35 self.get_op(m, self.in_b, self.b, "special_cases")
36
37
38 class FPAddSpecialCases(FPState):
39
40 def action(self, m):
41 """ special cases: NaNs, infs, zeros, denormalised
42 NOTE: some of these are unique to add. see "Special Operations"
43 https://steve.hollasch.net/cgindex/coding/ieeefloat.html
44 """
45 s_nomatch = Signal()
46 m.d.comb += s_nomatch.eq(self.a.s != self.b.s)
47
48 m_match = Signal()
49 m.d.comb += m_match.eq(self.a.m == self.b.m)
50
51 # if a is NaN or b is NaN return NaN
52 with m.If(self.a.is_nan | self.b.is_nan):
53 m.next = "put_z"
54 m.d.sync += self.z.nan(1)
55
56 # XXX WEIRDNESS for FP16 non-canonical NaN handling
57 # under review
58
59 ## if a is zero and b is NaN return -b
60 #with m.If(a.is_zero & (a.s==0) & b.is_nan):
61 # m.next = "put_z"
62 # m.d.sync += z.create(b.s, b.e, Cat(b.m[3:-2], ~b.m[0]))
63
64 ## if b is zero and a is NaN return -a
65 #with m.Elif(b.is_zero & (b.s==0) & a.is_nan):
66 # m.next = "put_z"
67 # m.d.sync += z.create(a.s, a.e, Cat(a.m[3:-2], ~a.m[0]))
68
69 ## if a is -zero and b is NaN return -b
70 #with m.Elif(a.is_zero & (a.s==1) & b.is_nan):
71 # m.next = "put_z"
72 # m.d.sync += z.create(a.s & b.s, b.e, Cat(b.m[3:-2], 1))
73
74 ## if b is -zero and a is NaN return -a
75 #with m.Elif(b.is_zero & (b.s==1) & a.is_nan):
76 # m.next = "put_z"
77 # m.d.sync += z.create(a.s & b.s, a.e, Cat(a.m[3:-2], 1))
78
79 # if a is inf return inf (or NaN)
80 with m.Elif(self.a.is_inf):
81 m.next = "put_z"
82 m.d.sync += self.z.inf(self.a.s)
83 # if a is inf and signs don't match return NaN
84 with m.If(self.b.exp_128 & s_nomatch):
85 m.d.sync += self.z.nan(1)
86
87 # if b is inf return inf
88 with m.Elif(self.b.is_inf):
89 m.next = "put_z"
90 m.d.sync += self.z.inf(self.b.s)
91
92 # if a is zero and b zero return signed-a/b
93 with m.Elif(self.a.is_zero & self.b.is_zero):
94 m.next = "put_z"
95 m.d.sync += self.z.create(self.a.s & self.b.s, self.b.e,
96 self.b.m[3:-1])
97
98 # if a is zero return b
99 with m.Elif(self.a.is_zero):
100 m.next = "put_z"
101 m.d.sync += self.z.create(self.b.s, self.b.e, self.b.m[3:-1])
102
103 # if b is zero return a
104 with m.Elif(self.b.is_zero):
105 m.next = "put_z"
106 m.d.sync += self.z.create(self.a.s, self.a.e, self.a.m[3:-1])
107
108 # if a equal to -b return zero (+ve zero)
109 with m.Elif(s_nomatch & m_match & (self.a.e == self.b.e)):
110 m.next = "put_z"
111 m.d.sync += self.z.zero(0)
112
113 # Denormalised Number checks
114 with m.Else():
115 m.next = "denormalise"
116
117
118 class FPAddDeNorm(FPState):
119
120 def action(self, m):
121 # Denormalised Number checks
122 m.next = "align"
123 self.denormalise(m, self.a)
124 self.denormalise(m, self.b)
125
126
127 class FPAddAlignMulti(FPState):
128
129 def action(self, m):
130 # NOTE: this does *not* do single-cycle multi-shifting,
131 # it *STAYS* in the align state until exponents match
132
133 # exponent of a greater than b: shift b down
134 with m.If(self.a.e > self.b.e):
135 m.d.sync += self.b.shift_down()
136 # exponent of b greater than a: shift a down
137 with m.Elif(self.a.e < self.b.e):
138 m.d.sync += self.a.shift_down()
139 # exponents equal: move to next stage.
140 with m.Else():
141 m.next = "add_0"
142
143
144 class FPAddAlignSingle(FPState):
145
146 def action(self, m):
147 # This one however (single-cycle) will do the shift
148 # in one go.
149
150 # XXX TODO: the shifter used here is quite expensive
151 # having only one would be better
152
153 ediff = Signal((len(self.a.e), True), reset_less=True)
154 ediffr = Signal((len(self.a.e), True), reset_less=True)
155 m.d.comb += ediff.eq(self.a.e - self.b.e)
156 m.d.comb += ediffr.eq(self.b.e - self.a.e)
157 with m.If(ediff > 0):
158 m.d.sync += self.b.shift_down_multi(ediff)
159 # exponent of b greater than a: shift a down
160 with m.Elif(ediff < 0):
161 m.d.sync += self.a.shift_down_multi(ediffr)
162
163 m.next = "add_0"
164
165
166 class FPAddStage0(FPState):
167
168 def action(self, m):
169 """ First stage of add. covers same-sign (add) and subtract
170 special-casing when mantissas are greater or equal, to
171 give greatest accuracy.
172 """
173 m.next = "add_1"
174 m.d.sync += self.z.e.eq(self.a.e)
175 # same-sign (both negative or both positive) add mantissas
176 with m.If(self.a.s == self.b.s):
177 m.d.sync += [
178 self.tot.eq(Cat(self.a.m, 0) + Cat(self.b.m, 0)),
179 self.z.s.eq(self.a.s)
180 ]
181 # a mantissa greater than b, use a
182 with m.Elif(self.a.m >= self.b.m):
183 m.d.sync += [
184 self.tot.eq(Cat(self.a.m, 0) - Cat(self.b.m, 0)),
185 self.z.s.eq(self.a.s)
186 ]
187 # b mantissa greater than a, use b
188 with m.Else():
189 m.d.sync += [
190 self.tot.eq(Cat(self.b.m, 0) - Cat(self.a.m, 0)),
191 self.z.s.eq(self.b.s)
192 ]
193
194
195 class FPADD(FPBase):
196
197 def __init__(self, width, single_cycle=False):
198 FPBase.__init__(self)
199 self.width = width
200 self.single_cycle = single_cycle
201
202 self.in_a = FPOp(width)
203 self.in_b = FPOp(width)
204 self.out_z = FPOp(width)
205
206 def get_fragment(self, platform=None):
207 """ creates the HDL code-fragment for FPAdd
208 """
209 m = Module()
210
211 # Latches
212 a = FPNumIn(self.in_a, self.width)
213 b = FPNumIn(self.in_b, self.width)
214 z = FPNumOut(self.width, False)
215
216 m.submodules.fpnum_a = a
217 m.submodules.fpnum_b = b
218 m.submodules.fpnum_z = z
219
220 w = z.m_width + 4
221 tot = Signal(w, reset_less=True) # sticky/round/guard, {mantissa} result, 1 overflow
222
223 of = Overflow()
224 m.submodules.overflow = of
225
226 geta = FPGetOpA("get_a")
227 geta.set_inputs({"in_a": self.in_a})
228 geta.set_outputs({"a": a})
229 m.d.comb += a.v.eq(self.in_a.v) # links in_a to a
230
231 getb = FPGetOpB("get_b")
232 getb.set_inputs({"in_b": self.in_b})
233 getb.set_outputs({"b": b})
234 m.d.comb += b.v.eq(self.in_b.v) # links in_b to b
235
236 sc = FPAddSpecialCases("special_cases")
237 sc.set_inputs({"a": a, "b": b})
238 sc.set_outputs({"z": z})
239
240 dn = FPAddDeNorm("denormalise")
241 dn.set_inputs({"a": a, "b": b})
242 dn.set_outputs({"a": a, "b": b}) # XXX outputs same as inputs
243
244 if self.single_cycle:
245 alm = FPAddAlignSingle("align")
246 else:
247 alm = FPAddAlignMulti("align")
248 alm.set_inputs({"a": a, "b": b})
249 alm.set_outputs({"a": a, "b": b}) # XXX outputs same as inputs
250
251 add0 = FPAddStage0("add_0")
252 add0.set_inputs({"a": a, "b": b})
253 add0.set_outputs({"z": z, "tot": tot})
254
255 with m.FSM() as fsm:
256
257 # ******
258 # gets operand a
259
260 with m.State("get_a"):
261 geta.action(m)
262
263 # ******
264 # gets operand b
265
266 with m.State("get_b"):
267 #self.get_op(m, self.in_b, b, "special_cases")
268 getb.action(m)
269
270 # ******
271 # special cases: NaNs, infs, zeros, denormalised
272 # NOTE: some of these are unique to add. see "Special Operations"
273 # https://steve.hollasch.net/cgindex/coding/ieeefloat.html
274
275 with m.State("special_cases"):
276 sc.action(m)
277
278 # ******
279 # denormalise.
280
281 with m.State("denormalise"):
282 dn.action(m)
283
284 # ******
285 # align.
286
287 with m.State("align"):
288 alm.action(m)
289
290 # ******
291 # First stage of add. covers same-sign (add) and subtract
292 # special-casing when mantissas are greater or equal, to
293 # give greatest accuracy.
294
295 with m.State("add_0"):
296 add0.action(m)
297
298 # ******
299 # Second stage of add: preparation for normalisation.
300 # detects when tot sum is too big (tot[27] is kinda a carry bit)
301
302 with m.State("add_1"):
303 m.next = "normalise_1"
304 # tot[27] gets set when the sum overflows. shift result down
305 with m.If(tot[-1]):
306 m.d.sync += [
307 z.m.eq(tot[4:]),
308 of.m0.eq(tot[4]),
309 of.guard.eq(tot[3]),
310 of.round_bit.eq(tot[2]),
311 of.sticky.eq(tot[1] | tot[0]),
312 z.e.eq(z.e + 1)
313 ]
314 # tot[27] zero case
315 with m.Else():
316 m.d.sync += [
317 z.m.eq(tot[3:]),
318 of.m0.eq(tot[3]),
319 of.guard.eq(tot[2]),
320 of.round_bit.eq(tot[1]),
321 of.sticky.eq(tot[0])
322 ]
323
324 # ******
325 # First stage of normalisation.
326
327 with m.State("normalise_1"):
328 self.normalise_1(m, z, of, "normalise_2")
329
330 # ******
331 # Second stage of normalisation.
332
333 with m.State("normalise_2"):
334 self.normalise_2(m, z, of, "round")
335
336 # ******
337 # rounding stage
338
339 with m.State("round"):
340 self.roundz(m, z, of, "corrections")
341
342 # ******
343 # correction stage
344
345 with m.State("corrections"):
346 self.corrections(m, z, "pack")
347
348 # ******
349 # pack stage
350
351 with m.State("pack"):
352 self.pack(m, z, "put_z")
353
354 # ******
355 # put_z stage
356
357 with m.State("put_z"):
358 self.put_z(m, z, self.out_z, "get_a")
359
360 return m
361
362
363 if __name__ == "__main__":
364 alu = FPADD(width=32)
365 main(alu, ports=alu.in_a.ports() + alu.in_b.ports() + alu.out_z.ports())
366
367
368 # works... but don't use, just do "python fname.py convert -t v"
369 #print (verilog.convert(alu, ports=[
370 # ports=alu.in_a.ports() + \
371 # alu.in_b.ports() + \
372 # alu.out_z.ports())