add example ready for adding delay (data_ready) to pipeline API
[ieee754fpu.git] / src / add / singlepipe.py
1 """ Pipeline and BufferedPipeline implementation, conforming to the same API.
2 For multi-input and multi-output variants, see multipipe.
3
4 eq:
5 --
6
7 a strategically very important function that is identical in function
8 to nmigen's Signal.eq function, except it may take objects, or a list
9 of objects, or a tuple of objects, and where objects may also be
10 Records.
11
12 Stage API:
13 ---------
14
15 stage requires compliance with a strict API that may be
16 implemented in several means, including as a static class.
17 the methods of a stage instance must be as follows:
18
19 * ispec() - Input data format specification
20 returns an object or a list or tuple of objects, or
21 a Record, each object having an "eq" function which
22 takes responsibility for copying by assignment all
23 sub-objects
24 * ospec() - Output data format specification
25 requirements as for ospec
26 * process(m, i) - Processes an ispec-formatted object
27 returns a combinatorial block of a result that
28 may be assigned to the output, by way of the "eq"
29 function
30 * setup(m, i) - Optional function for setting up submodules
31 may be used for more complex stages, to link
32 the input (i) to submodules. must take responsibility
33 for adding those submodules to the module (m).
34 the submodules must be combinatorial blocks and
35 must have their inputs and output linked combinatorially.
36
37 Both StageCls (for use with non-static classes) and Stage (for use
38 by static classes) are abstract classes from which, for convenience
39 and as a courtesy to other developers, anything conforming to the
40 Stage API may *choose* to derive.
41
42 StageChain:
43 ----------
44
45 A useful combinatorial wrapper around stages that chains them together
46 and then presents a Stage-API-conformant interface. By presenting
47 the same API as the stages it wraps, it can clearly be used recursively.
48
49 RecordBasedStage:
50 ----------------
51
52 A convenience class that takes an input shape, output shape, a
53 "processing" function and an optional "setup" function. Honestly
54 though, there's not much more effort to just... create a class
55 that returns a couple of Records (see ExampleAddRecordStage in
56 examples).
57
58 PassThroughStage:
59 ----------------
60
61 A convenience class that takes a single function as a parameter,
62 that is chain-called to create the exact same input and output spec.
63 It has a process() function that simply returns its input.
64
65 Instances of this class are completely redundant if handed to
66 StageChain, however when passed to UnbufferedPipeline they
67 can be used to introduce a single clock delay.
68
69 ControlBase:
70 -----------
71
72 The base class for pipelines. Contains previous and next ready/valid/data.
73 Also has an extremely useful "connect" function that can be used to
74 connect a chain of pipelines and present the exact same prev/next
75 ready/valid/data API.
76
77 UnbufferedPipeline:
78 ------------------
79
80 A simple stalling clock-synchronised pipeline that has no buffering
81 (unlike BufferedPipeline). Data flows on *every* clock cycle when
82 the conditions are right (this is nominally when the input is valid
83 and the output is ready).
84
85 A stall anywhere along the line will result in a stall back-propagating
86 down the entire chain. The BufferedPipeline by contrast will buffer
87 incoming data, allowing previous stages one clock cycle's grace before
88 also having to stall.
89
90 An advantage of the UnbufferedPipeline over the Buffered one is
91 that the amount of logic needed (number of gates) is greatly
92 reduced (no second set of buffers basically)
93
94 The disadvantage of the UnbufferedPipeline is that the valid/ready
95 logic, if chained together, is *combinatorial*, resulting in
96 progressively larger gate delay.
97
98 RegisterPipeline:
99 ----------------
100
101 A convenience class that, because UnbufferedPipeline introduces a single
102 clock delay, when its stage is a PassThroughStage, it results in a Pipeline
103 stage that, duh, delays its (unmodified) input by one clock cycle.
104
105 BufferedPipeline:
106 ----------------
107
108 nmigen implementation of buffered pipeline stage, based on zipcpu:
109 https://zipcpu.com/blog/2017/08/14/strategies-for-pipelining.html
110
111 this module requires quite a bit of thought to understand how it works
112 (and why it is needed in the first place). reading the above is
113 *strongly* recommended.
114
115 unlike john dawson's IEEE754 FPU STB/ACK signalling, which requires
116 the STB / ACK signals to raise and lower (on separate clocks) before
117 data may proceeed (thus only allowing one piece of data to proceed
118 on *ALTERNATE* cycles), the signalling here is a true pipeline
119 where data will flow on *every* clock when the conditions are right.
120
121 input acceptance conditions are when:
122 * incoming previous-stage strobe (p.i_valid) is HIGH
123 * outgoing previous-stage ready (p.o_ready) is LOW
124
125 output transmission conditions are when:
126 * outgoing next-stage strobe (n.o_valid) is HIGH
127 * outgoing next-stage ready (n.i_ready) is LOW
128
129 the tricky bit is when the input has valid data and the output is not
130 ready to accept it. if it wasn't for the clock synchronisation, it
131 would be possible to tell the input "hey don't send that data, we're
132 not ready". unfortunately, it's not possible to "change the past":
133 the previous stage *has no choice* but to pass on its data.
134
135 therefore, the incoming data *must* be accepted - and stored: that
136 is the responsibility / contract that this stage *must* accept.
137 on the same clock, it's possible to tell the input that it must
138 not send any more data. this is the "stall" condition.
139
140 we now effectively have *two* possible pieces of data to "choose" from:
141 the buffered data, and the incoming data. the decision as to which
142 to process and output is based on whether we are in "stall" or not.
143 i.e. when the next stage is no longer ready, the output comes from
144 the buffer if a stall had previously occurred, otherwise it comes
145 direct from processing the input.
146
147 this allows us to respect a synchronous "travelling STB" with what
148 dan calls a "buffered handshake".
149
150 it's quite a complex state machine!
151 """
152
153 from nmigen import Signal, Cat, Const, Mux, Module, Value
154 from nmigen.cli import verilog, rtlil
155 from nmigen.hdl.ast import ArrayProxy
156 from nmigen.hdl.rec import Record, Layout
157
158 from abc import ABCMeta, abstractmethod
159 from collections.abc import Sequence
160
161
162 class PrevControl:
163 """ contains signals that come *from* the previous stage (both in and out)
164 * i_valid: previous stage indicating all incoming data is valid.
165 may be a multi-bit signal, where all bits are required
166 to be asserted to indicate "valid".
167 * o_ready: output to next stage indicating readiness to accept data
168 * i_data : an input - added by the user of this class
169 """
170
171 def __init__(self, i_width=1):
172 self.i_valid = Signal(i_width, name="p_i_valid") # prev >>in self
173 self.o_ready = Signal(name="p_o_ready") # prev <<out self
174 self.i_data = None # XXX MUST BE ADDED BY USER
175
176 def _connect_in(self, prev):
177 """ internal helper function to connect stage to an input source.
178 do not use to connect stage-to-stage!
179 """
180 return [self.i_valid.eq(prev.i_valid),
181 prev.o_ready.eq(self.o_ready),
182 eq(self.i_data, prev.i_data),
183 ]
184
185 def i_valid_logic(self):
186 vlen = len(self.i_valid)
187 if vlen > 1: # multi-bit case: valid only when i_valid is all 1s
188 all1s = Const(-1, (len(self.i_valid), False))
189 return self.i_valid == all1s
190 # single-bit i_valid case
191 return self.i_valid
192
193
194 class NextControl:
195 """ contains the signals that go *to* the next stage (both in and out)
196 * o_valid: output indicating to next stage that data is valid
197 * i_ready: input from next stage indicating that it can accept data
198 * o_data : an output - added by the user of this class
199 """
200 def __init__(self):
201 self.o_valid = Signal(name="n_o_valid") # self out>> next
202 self.i_ready = Signal(name="n_i_ready") # self <<in next
203 self.o_data = None # XXX MUST BE ADDED BY USER
204
205 def connect_to_next(self, nxt):
206 """ helper function to connect to the next stage data/valid/ready.
207 data/valid is passed *TO* nxt, and ready comes *IN* from nxt.
208 use this when connecting stage-to-stage
209 """
210 return [nxt.i_valid.eq(self.o_valid),
211 self.i_ready.eq(nxt.o_ready),
212 eq(nxt.i_data, self.o_data),
213 ]
214
215 def _connect_out(self, nxt):
216 """ internal helper function to connect stage to an output source.
217 do not use to connect stage-to-stage!
218 """
219 return [nxt.o_valid.eq(self.o_valid),
220 self.i_ready.eq(nxt.i_ready),
221 eq(nxt.o_data, self.o_data),
222 ]
223
224
225 def eq(o, i):
226 """ makes signals equal: a helper routine which identifies if it is being
227 passed a list (or tuple) of objects, or signals, or Records, and calls
228 the objects' eq function.
229
230 complex objects (classes) can be used: they must follow the
231 convention of having an eq member function, which takes the
232 responsibility of further calling eq and returning a list of
233 eq assignments
234
235 Record is a special (unusual, recursive) case, where the input may be
236 specified as a dictionary (which may contain further dictionaries,
237 recursively), where the field names of the dictionary must match
238 the Record's field spec. Alternatively, an object with the same
239 member names as the Record may be assigned: it does not have to
240 *be* a Record.
241
242 ArrayProxy is also special-cased, it's a bit messy: whilst ArrayProxy
243 has an eq function, the object being assigned to it (e.g. a python
244 object) might not. despite the *input* having an eq function,
245 that doesn't help us, because it's the *ArrayProxy* that's being
246 assigned to. so.... we cheat. use the ports() function of the
247 python object, enumerate them, find out the list of Signals that way,
248 and assign them.
249 """
250 res = []
251 if isinstance(o, dict):
252 for (k, v) in o.items():
253 print ("d-eq", v, i[k])
254 res.append(v.eq(i[k]))
255 return res
256
257 if not isinstance(o, Sequence):
258 o, i = [o], [i]
259 for (ao, ai) in zip(o, i):
260 #print ("eq", ao, ai)
261 if isinstance(ao, Record):
262 for idx, (field_name, field_shape, _) in enumerate(ao.layout):
263 if isinstance(field_shape, Layout):
264 val = ai.fields
265 else:
266 val = ai
267 if hasattr(val, field_name): # check for attribute
268 val = getattr(val, field_name)
269 else:
270 val = val[field_name] # dictionary-style specification
271 rres = eq(ao.fields[field_name], val)
272 res += rres
273 elif isinstance(ao, ArrayProxy) and not isinstance(ai, Value):
274 for p in ai.ports():
275 op = getattr(ao, p.name)
276 #print (op, p, p.name)
277 rres = op.eq(p)
278 if not isinstance(rres, Sequence):
279 rres = [rres]
280 res += rres
281 else:
282 rres = ao.eq(ai)
283 if not isinstance(rres, Sequence):
284 rres = [rres]
285 res += rres
286 return res
287
288
289 class StageCls(metaclass=ABCMeta):
290 """ Class-based "Stage" API. requires instantiation (after derivation)
291
292 see "Stage API" above.. Note: python does *not* require derivation
293 from this class. All that is required is that the pipelines *have*
294 the functions listed in this class. Derivation from this class
295 is therefore merely a "courtesy" to maintainers.
296 """
297 @abstractmethod
298 def ispec(self): pass # REQUIRED
299 @abstractmethod
300 def ospec(self): pass # REQUIRED
301 #@abstractmethod
302 #def setup(self, m, i): pass # OPTIONAL
303 @abstractmethod
304 def process(self, i): pass # REQUIRED
305
306
307 class Stage(metaclass=ABCMeta):
308 """ Static "Stage" API. does not require instantiation (after derivation)
309
310 see "Stage API" above. Note: python does *not* require derivation
311 from this class. All that is required is that the pipelines *have*
312 the functions listed in this class. Derivation from this class
313 is therefore merely a "courtesy" to maintainers.
314 """
315 @staticmethod
316 @abstractmethod
317 def ispec(): pass
318
319 @staticmethod
320 @abstractmethod
321 def ospec(): pass
322
323 #@staticmethod
324 #@abstractmethod
325 #def setup(m, i): pass
326
327 @staticmethod
328 @abstractmethod
329 def process(i): pass
330
331
332 class RecordBasedStage(Stage):
333 """ convenience class which provides a Records-based layout.
334 honestly it's a lot easier just to create a direct Records-based
335 class (see ExampleAddRecordStage)
336 """
337 def __init__(self, in_shape, out_shape, processfn, setupfn=None):
338 self.in_shape = in_shape
339 self.out_shape = out_shape
340 self.__process = processfn
341 self.__setup = setupfn
342 def ispec(self): return Record(self.in_shape)
343 def ospec(self): return Record(self.out_shape)
344 def process(seif, i): return self.__process(i)
345 def setup(seif, m, i): return self.__setup(m, i)
346
347
348 class StageChain(StageCls):
349 """ pass in a list of stages, and they will automatically be
350 chained together via their input and output specs into a
351 combinatorial chain.
352
353 the end result basically conforms to the exact same Stage API.
354
355 * input to this class will be the input of the first stage
356 * output of first stage goes into input of second
357 * output of second goes into input into third (etc. etc.)
358 * the output of this class will be the output of the last stage
359 """
360 def __init__(self, chain, specallocate=False):
361 self.chain = chain
362 self.specallocate = specallocate
363
364 def ispec(self):
365 return self.chain[0].ispec()
366
367 def ospec(self):
368 return self.chain[-1].ospec()
369
370 def setup(self, m, i):
371 for (idx, c) in enumerate(self.chain):
372 if hasattr(c, "setup"):
373 c.setup(m, i) # stage may have some module stuff
374 if self.specallocate:
375 o = self.chain[idx].ospec() # last assignment survives
376 m.d.comb += eq(o, c.process(i)) # process input into "o"
377 else:
378 o = c.process(i) # store input into "o"
379 if idx != len(self.chain)-1:
380 if self.specallocate:
381 ni = self.chain[idx+1].ispec() # new input on next loop
382 m.d.comb += eq(ni, o) # assign to next input
383 i = ni
384 else:
385 i = o
386 self.o = o # last loop is the output
387
388 def process(self, i):
389 return self.o # conform to Stage API: return last-loop output
390
391
392 class ControlBase:
393 """ Common functions for Pipeline API
394 """
395 def __init__(self, in_multi=None):
396 """ Base class containing ready/valid/data to previous and next stages
397
398 * p: contains ready/valid to the previous stage
399 * n: contains ready/valid to the next stage
400
401 Except when calling Controlbase.connect(), user must also:
402 * add i_data member to PrevControl (p) and
403 * add o_data member to NextControl (n)
404 """
405 # set up input and output IO ACK (prev/next ready/valid)
406 self.p = PrevControl(in_multi)
407 self.n = NextControl()
408
409 def connect_to_next(self, nxt):
410 """ helper function to connect to the next stage data/valid/ready.
411 """
412 return self.n.connect_to_next(nxt.p)
413
414 def _connect_in(self, prev):
415 """ internal helper function to connect stage to an input source.
416 do not use to connect stage-to-stage!
417 """
418 return self.p._connect_in(prev.p)
419
420 def _connect_out(self, nxt):
421 """ internal helper function to connect stage to an output source.
422 do not use to connect stage-to-stage!
423 """
424 return self.n._connect_out(nxt.n)
425
426 def connect(self, pipechain):
427 """ connects a chain (list) of Pipeline instances together and
428 links them to this ControlBase instance:
429
430 in <----> self <---> out
431 | ^
432 v |
433 [pipe1, pipe2, pipe3, pipe4]
434 | ^ | ^ | ^
435 v | v | v |
436 out---in out--in out---in
437
438 Also takes care of allocating i_data/o_data, by looking up
439 the data spec for each end of the pipechain. i.e It is NOT
440 necessary to allocate self.p.i_data or self.n.o_data manually:
441 this is handled AUTOMATICALLY, here.
442
443 Basically this function is the direct equivalent of StageChain,
444 except that unlike StageChain, the Pipeline logic is followed.
445
446 Just as StageChain presents an object that conforms to the
447 Stage API from a list of objects that also conform to the
448 Stage API, an object that calls this Pipeline connect function
449 has the exact same pipeline API as the list of pipline objects
450 it is called with.
451
452 Thus it becomes possible to build up larger chains recursively.
453 More complex chains (multi-input, multi-output) will have to be
454 done manually.
455 """
456 eqs = [] # collated list of assignment statements
457
458 # connect inter-chain
459 for i in range(len(pipechain)-1):
460 pipe1 = pipechain[i]
461 pipe2 = pipechain[i+1]
462 eqs += pipe1.connect_to_next(pipe2)
463
464 # connect front of chain to ourselves
465 front = pipechain[0]
466 self.p.i_data = front.stage.ispec()
467 eqs += front._connect_in(self)
468
469 # connect end of chain to ourselves
470 end = pipechain[-1]
471 self.n.o_data = end.stage.ospec()
472 eqs += end._connect_out(self)
473
474 return eqs
475
476 def set_input(self, i):
477 """ helper function to set the input data
478 """
479 return eq(self.p.i_data, i)
480
481 def ports(self):
482 res = [self.p.i_valid, self.n.i_ready,
483 self.n.o_valid, self.p.o_ready,
484 ]
485 if hasattr(self.p.i_data, "ports"):
486 res += self.p.i_data.ports()
487 else:
488 res += self.p.i_data
489 if hasattr(self.n.o_data, "ports"):
490 res += self.n.o_data.ports()
491 else:
492 res += self.n.o_data
493 return res
494
495
496 class BufferedPipeline(ControlBase):
497 """ buffered pipeline stage. data and strobe signals travel in sync.
498 if ever the input is ready and the output is not, processed data
499 is shunted in a temporary register.
500
501 Argument: stage. see Stage API above
502
503 stage-1 p.i_valid >>in stage n.o_valid out>> stage+1
504 stage-1 p.o_ready <<out stage n.i_ready <<in stage+1
505 stage-1 p.i_data >>in stage n.o_data out>> stage+1
506 | |
507 process --->----^
508 | |
509 +-- r_data ->-+
510
511 input data p.i_data is read (only), is processed and goes into an
512 intermediate result store [process()]. this is updated combinatorially.
513
514 in a non-stall condition, the intermediate result will go into the
515 output (update_output). however if ever there is a stall, it goes
516 into r_data instead [update_buffer()].
517
518 when the non-stall condition is released, r_data is the first
519 to be transferred to the output [flush_buffer()], and the stall
520 condition cleared.
521
522 on the next cycle (as long as stall is not raised again) the
523 input may begin to be processed and transferred directly to output.
524
525 """
526 def __init__(self, stage):
527 ControlBase.__init__(self)
528 self.stage = stage
529
530 # set up the input and output data
531 self.p.i_data = stage.ispec() # input type
532 self.n.o_data = stage.ospec()
533
534 def elaborate(self, platform):
535
536 self.m = Module()
537
538 result = self.stage.ospec()
539 r_data = self.stage.ospec()
540 if hasattr(self.stage, "setup"):
541 self.stage.setup(self.m, self.p.i_data)
542
543 # establish some combinatorial temporaries
544 o_n_validn = Signal(reset_less=True)
545 i_p_valid_o_p_ready = Signal(reset_less=True)
546 p_i_valid = Signal(reset_less=True)
547 self.m.d.comb += [p_i_valid.eq(self.p.i_valid_logic()),
548 o_n_validn.eq(~self.n.o_valid),
549 i_p_valid_o_p_ready.eq(p_i_valid & self.p.o_ready),
550 ]
551
552 # store result of processing in combinatorial temporary
553 self.m.d.comb += eq(result, self.stage.process(self.p.i_data))
554
555 # if not in stall condition, update the temporary register
556 with self.m.If(self.p.o_ready): # not stalled
557 self.m.d.sync += eq(r_data, result) # update buffer
558
559 with self.m.If(self.n.i_ready): # next stage is ready
560 with self.m.If(self.p.o_ready): # not stalled
561 # nothing in buffer: send (processed) input direct to output
562 self.m.d.sync += [self.n.o_valid.eq(p_i_valid),
563 eq(self.n.o_data, result), # update output
564 ]
565 with self.m.Else(): # p.o_ready is false, and something in buffer
566 # Flush the [already processed] buffer to the output port.
567 self.m.d.sync += [self.n.o_valid.eq(1), # declare reg empty
568 eq(self.n.o_data, r_data), # flush buffer
569 self.p.o_ready.eq(1), # clear stall
570 ]
571 # ignore input, since p.o_ready is also false.
572
573 # (n.i_ready) is false here: next stage is ready
574 with self.m.Elif(o_n_validn): # next stage being told "ready"
575 self.m.d.sync += [self.n.o_valid.eq(p_i_valid),
576 self.p.o_ready.eq(1), # Keep the buffer empty
577 eq(self.n.o_data, result), # set output data
578 ]
579
580 # (n.i_ready) false and (n.o_valid) true:
581 with self.m.Elif(i_p_valid_o_p_ready):
582 # If next stage *is* ready, and not stalled yet, accept input
583 self.m.d.sync += self.p.o_ready.eq(~(p_i_valid & self.n.o_valid))
584
585 return self.m
586
587
588 class UnbufferedPipeline(ControlBase):
589 """ A simple pipeline stage with single-clock synchronisation
590 and two-way valid/ready synchronised signalling.
591
592 Note that a stall in one stage will result in the entire pipeline
593 chain stalling.
594
595 Also that unlike BufferedPipeline, the valid/ready signalling does NOT
596 travel synchronously with the data: the valid/ready signalling
597 combines in a *combinatorial* fashion. Therefore, a long pipeline
598 chain will lengthen propagation delays.
599
600 Argument: stage. see Stage API, above
601
602 stage-1 p.i_valid >>in stage n.o_valid out>> stage+1
603 stage-1 p.o_ready <<out stage n.i_ready <<in stage+1
604 stage-1 p.i_data >>in stage n.o_data out>> stage+1
605 | |
606 r_data result
607 | |
608 +--process ->-+
609
610 Attributes:
611 -----------
612 p.i_data : StageInput, shaped according to ispec
613 The pipeline input
614 p.o_data : StageOutput, shaped according to ospec
615 The pipeline output
616 r_data : input_shape according to ispec
617 A temporary (buffered) copy of a prior (valid) input.
618 This is HELD if the output is not ready. It is updated
619 SYNCHRONOUSLY.
620 result: output_shape according to ospec
621 The output of the combinatorial logic. it is updated
622 COMBINATORIALLY (no clock dependence).
623 """
624
625 def __init__(self, stage):
626 ControlBase.__init__(self)
627 self.stage = stage
628
629 # set up the input and output data
630 self.p.i_data = stage.ispec() # input type
631 self.n.o_data = stage.ospec() # output type
632
633 def elaborate(self, platform):
634 self.m = Module()
635
636 data_valid = Signal() # is data valid or not
637 r_data = self.stage.ispec() # input type
638 if hasattr(self.stage, "setup"):
639 self.stage.setup(self.m, r_data)
640
641 # some temporarie
642 p_i_valid = Signal(reset_less=True)
643 pv = Signal(reset_less=True)
644 self.m.d.comb += p_i_valid.eq(self.p.i_valid_logic())
645 self.m.d.comb += pv.eq(self.p.i_valid & self.p.o_ready)
646
647 self.m.d.comb += self.n.o_valid.eq(data_valid)
648 self.m.d.comb += self.p.o_ready.eq(~data_valid | self.n.i_ready)
649 self.m.d.sync += data_valid.eq(p_i_valid | \
650 (~self.n.i_ready & data_valid))
651 with self.m.If(pv):
652 self.m.d.sync += eq(r_data, self.p.i_data)
653 self.m.d.comb += eq(self.n.o_data, self.stage.process(r_data))
654 return self.m
655
656
657 class PassThroughStage(StageCls):
658 """ a pass-through stage which has its input data spec equal to its output,
659 and "passes through" its data from input to output.
660 """
661 def __init__(self, iospecfn):
662 self.iospecfn = iospecfn
663 def ispec(self): return self.iospecfn()
664 def ospec(self): return self.iospecfn()
665 def process(self, i): return i
666
667
668 class RegisterPipeline(UnbufferedPipeline):
669 """ A pipeline stage that delays by one clock cycle, creating a
670 sync'd latch out of o_data and o_valid as an indirect byproduct
671 of using PassThroughStage
672 """
673 def __init__(self, iospecfn):
674 UnbufferedPipeline.__init__(self, PassThroughStage(iospecfn))
675