aedb9bb1efebbf55018f46d451b7e87dca1e75f4
[pinmux.git] / src / bsv / Makefile.template
1 ### Makefile for the cclass project
2
3 TOP_MODULE:=mkslow_peripherals
4 TOP_FILE:=slow_peripherals.bsv
5 TOP_DIR:=./
6 WORKING_DIR := $(shell pwd)
7
8 BSVINCDIR:= .:%/Prelude:%/Libraries:%/Libraries/BlueNoC:./bsv_lib/
9 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/core
10 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/uncore/axi4
11 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/uncore/axi4lite
12 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/lib
13 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/peripherals/gpio
14 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/peripherals/rgbttl
15 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/peripherals/i2c
16 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/peripherals/mux
17 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/peripherals/plic
18 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/peripherals/pwm
19 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/peripherals/qspi
20 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/peripherals/spi
21 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/peripherals/sdmmc
22 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/peripherals/uart
23
24 default: gen_verilog
25
26 check-blue:
27 @if test -z "$$BLUESPECDIR"; then echo "BLUESPECDIR variable not set"; exit 1; fi;
28
29 ###### Setting the variables for bluespec compile #$############################
30 BSVCOMPILEOPTS:= -check-assert -suppress-warnings G0020 -keep-fires -opt-undetermined-vals -remove-false-rules -remove-empty-rules -remove-starved-rules
31 BSVLINKOPTS:=-parallel-sim-link 8 -keep-fires
32 VERILOGDIR:=./verilog/
33 BSVBUILDDIR:=./bsv_build/
34 BSVOUTDIR:=./bin
35 ################################################################################
36
37 ########## BSIM COMPILE, LINK AND SIMULATE TARGETS ##########################
38 .PHONY: check-restore
39 check-restore:
40 @if [ "$(define_macros)" != "$(old_define_macros)" ]; then make clean ; fi;
41
42 .PHONY: gen_verilog
43 gen_verilog: check-restore check-blue
44 @echo Compiling mkTbSoc in Verilog for simulations ...
45 @mkdir -p $(BSVBUILDDIR);
46 @mkdir -p $(VERILOGDIR);
47 bsc -u -verilog -elab -vdir $(VERILOGDIR) -bdir $(BSVBUILDDIR) -info-dir $(BSVBUILDDIR) $(define_macros) -D verilog=True $(BSVCOMPILEOPTS) -verilog-filter ${BLUESPECDIR}/bin/basicinout -p $(BSVINCDIR) -g $(TOP_MODULE) $(TOP_DIR)/$(TOP_FILE) 2>&1 | tee bsv_compile.log
48 @echo Compilation finished
49
50 #############################################################################
51
52 .PHONY: clean
53 clean:
54 rm -rf $(BSVBUILDDIR) *.log $(BSVOUTDIR) ./bbl*
55 rm -rf verilog obj_dir bsv_src