add helper routine for creating latched registers
[ieee754fpu.git] / src / nmutil / __init__.py
1