53427313c2b21247fe690d5e2b9eba92215e3723
[openpower-isa.git] / src / openpower / decoder / isa / caller.py
1 # SPDX-License-Identifier: LGPLv3+
2 # Copyright (C) 2020, 2021 Luke Kenneth Casson Leighton <lkcl@lkcl.net>
3 # Copyright (C) 2020 Michael Nolan
4 # Funded by NLnet http://nlnet.nl
5 """core of the python-based POWER9 simulator
6
7 this is part of a cycle-accurate POWER9 simulator. its primary purpose is
8 not speed, it is for both learning and educational purposes, as well as
9 a method of verifying the HDL.
10
11 related bugs:
12
13 * https://bugs.libre-soc.org/show_bug.cgi?id=424
14 """
15
16 from collections import namedtuple
17 from copy import deepcopy
18 from functools import wraps
19 import os
20 import errno
21 import struct
22 from openpower.syscalls import ppc_flags
23 import sys
24 from elftools.elf.elffile import ELFFile # for isinstance
25
26 from nmigen.sim import Settle
27 import openpower.syscalls
28 from openpower.consts import (MSRb, PIb, # big-endian (PowerISA versions)
29 SVP64CROffs, SVP64MODEb)
30 from openpower.decoder.helpers import (ISACallerHelper, ISAFPHelpers, exts,
31 gtu, undefined, copy_assign_rhs)
32 from openpower.decoder.isa.mem import Mem, MemMMap, MemException, LoadedELF
33 from openpower.decoder.isa.radixmmu import RADIX
34 from openpower.decoder.isa.svshape import SVSHAPE
35 from openpower.decoder.isa.svstate import SVP64State
36 from openpower.decoder.orderedset import OrderedSet
37 from openpower.decoder.power_enums import (FPTRANS_INSNS, CRInSel, CROutSel,
38 In1Sel, In2Sel, In3Sel, LDSTMode,
39 MicrOp, OutSel, SVMode,
40 SVP64LDSTmode, SVP64PredCR,
41 SVP64PredInt, SVP64PredMode,
42 SVP64RMMode, SVPType, XER_bits,
43 insns, spr_byname, spr_dict,
44 BFP_FLAG_NAMES)
45 from openpower.insndb.core import SVP64Instruction
46 from openpower.decoder.power_svp64 import SVP64RM, decode_extra
47 from openpower.decoder.selectable_int import (FieldSelectableInt,
48 SelectableInt, selectconcat,
49 EFFECTIVELY_UNLIMITED)
50 from openpower.consts import DEFAULT_MSR
51 from openpower.fpscr import FPSCRState
52 from openpower.xer import XERState
53 from openpower.util import LogType, log
54
55 LDST_UPDATE_INSNS = ['ldu', 'lwzu', 'lbzu', 'lhzu', 'lhau', 'lfsu', 'lfdu',
56 'stwu', 'stbu', 'sthu', 'stfsu', 'stfdu', 'stdu',
57 ]
58
59
60 instruction_info = namedtuple('instruction_info',
61 'func read_regs uninit_regs write_regs ' +
62 'special_regs op_fields form asmregs')
63
64 special_sprs = {
65 'LR': 8,
66 'CTR': 9,
67 'TAR': 815,
68 'XER': 1,
69 'VRSAVE': 256}
70
71
72 # rrright. this is here basically because the compiler pywriter returns
73 # results in a specific priority order. to make sure regs match up they
74 # need partial sorting. sigh.
75 REG_SORT_ORDER = {
76 # TODO (lkcl): adjust other registers that should be in a particular order
77 # probably CA, CA32, and CR
78 "FRT": 0,
79 "FRA": 0,
80 "FRB": 0,
81 "FRC": 0,
82 "FRS": 0,
83 "RT": 0,
84 "RA": 0,
85 "RB": 0,
86 "RC": 0,
87 "RS": 0,
88 "BI": 0,
89 "CR": 0,
90 "LR": 0,
91 "CTR": 0,
92 "TAR": 0,
93 "MSR": 0,
94 "SVSTATE": 0,
95 "SVSHAPE0": 0,
96 "SVSHAPE1": 0,
97 "SVSHAPE2": 0,
98 "SVSHAPE3": 0,
99
100 "CA": 0,
101 "CA32": 0,
102
103 "FPSCR": 1,
104
105 "overflow": 7, # should definitely be last
106 "CR0": 8, # likewise
107 }
108
109 fregs = ['FRA', 'FRB', 'FRC', 'FRS', 'FRT']
110
111
112 def get_masked_reg(regs, base, offs, ew_bits):
113 # rrrright. start by breaking down into row/col, based on elwidth
114 gpr_offs = offs // (64 // ew_bits)
115 gpr_col = offs % (64 // ew_bits)
116 # compute the mask based on ew_bits
117 mask = (1 << ew_bits) - 1
118 # now select the 64-bit register, but get its value (easier)
119 val = regs[base + gpr_offs]
120 # shift down so element we want is at LSB
121 val >>= gpr_col * ew_bits
122 # mask so we only return the LSB element
123 return val & mask
124
125
126 def set_masked_reg(regs, base, offs, ew_bits, value):
127 # rrrright. start by breaking down into row/col, based on elwidth
128 gpr_offs = offs // (64//ew_bits)
129 gpr_col = offs % (64//ew_bits)
130 # compute the mask based on ew_bits
131 mask = (1 << ew_bits)-1
132 # now select the 64-bit register, but get its value (easier)
133 val = regs[base+gpr_offs]
134 # now mask out the bit we don't want
135 val = val & ~(mask << (gpr_col*ew_bits))
136 # then wipe the bit we don't want from the value
137 value = value & mask
138 # OR the new value in, shifted up
139 val |= value << (gpr_col*ew_bits)
140 regs[base+gpr_offs] = val
141
142
143 def create_args(reglist, extra=None):
144 retval = list(OrderedSet(reglist))
145 retval.sort(key=lambda reg: REG_SORT_ORDER.get(reg, 0))
146 if extra is not None:
147 return [extra] + retval
148 return retval
149
150
151 def create_full_args(*, read_regs, special_regs, uninit_regs, write_regs,
152 extra=None):
153 return create_args([
154 *read_regs, *uninit_regs, *write_regs, *special_regs], extra=extra)
155
156
157 def is_ffirst_mode(dec2):
158 rm_mode = yield dec2.rm_dec.mode
159 return rm_mode == SVP64RMMode.FFIRST.value
160
161
162 class GPR(dict):
163 def __init__(self, decoder, isacaller, svstate, regfile):
164 dict.__init__(self)
165 self.sd = decoder
166 self.isacaller = isacaller
167 self.svstate = svstate
168 for i in range(len(regfile)):
169 self[i] = SelectableInt(regfile[i], 64)
170
171 def __call__(self, ridx, is_vec=False, offs=0, elwidth=64):
172 if isinstance(ridx, SelectableInt):
173 ridx = ridx.value
174 if elwidth == 64:
175 return self[ridx+offs]
176 # rrrright. start by breaking down into row/col, based on elwidth
177 gpr_offs = offs // (64//elwidth)
178 gpr_col = offs % (64//elwidth)
179 # now select the 64-bit register, but get its value (easier)
180 val = self[ridx+gpr_offs].value
181 # now shift down and mask out
182 val = val >> (gpr_col*elwidth) & ((1 << elwidth)-1)
183 # finally, return a SelectableInt at the required elwidth
184 log("GPR call", ridx, "isvec", is_vec, "offs", offs,
185 "elwid", elwidth, "offs/col", gpr_offs, gpr_col, "val", hex(val))
186 return SelectableInt(val, elwidth)
187
188 def set_form(self, form):
189 self.form = form
190
191 def write(self, rnum, value, is_vec=False, elwidth=64):
192 # get internal value
193 if isinstance(rnum, SelectableInt):
194 rnum = rnum.value
195 if isinstance(value, SelectableInt):
196 value = value.value
197 # compatibility...
198 if isinstance(rnum, tuple):
199 rnum, base, offs = rnum
200 else:
201 base, offs = rnum, 0
202 # rrrright. start by breaking down into row/col, based on elwidth
203 gpr_offs = offs // (64//elwidth)
204 gpr_col = offs % (64//elwidth)
205 # compute the mask based on elwidth
206 mask = (1 << elwidth)-1
207 # now select the 64-bit register, but get its value (easier)
208 val = self[base+gpr_offs].value
209 # now mask out the bit we don't want
210 val = val & ~(mask << (gpr_col*elwidth))
211 # then wipe the bit we don't want from the value
212 value = value & mask
213 # OR the new value in, shifted up
214 val |= value << (gpr_col*elwidth)
215 # finally put the damn value into the regfile
216 log("GPR write", base, "isvec", is_vec, "offs", offs,
217 "elwid", elwidth, "offs/col", gpr_offs, gpr_col, "val", hex(val),
218 "@", base+gpr_offs)
219 dict.__setitem__(self, base+gpr_offs, SelectableInt(val, 64))
220
221 def __setitem__(self, rnum, value):
222 # rnum = rnum.value # only SelectableInt allowed
223 log("GPR setitem", rnum, value)
224 if isinstance(rnum, SelectableInt):
225 rnum = rnum.value
226 dict.__setitem__(self, rnum, value)
227
228 def getz(self, rnum, rvalue=None):
229 # rnum = rnum.value # only SelectableInt allowed
230 log("GPR getzero?", rnum, rvalue)
231 if rvalue is not None:
232 if rnum == 0:
233 return SelectableInt(0, rvalue.bits)
234 return rvalue
235 if rnum == 0:
236 return SelectableInt(0, 64)
237 return self[rnum]
238
239 def _get_regnum(self, attr):
240 getform = self.sd.sigforms[self.form]
241 rnum = getattr(getform, attr)
242 return rnum
243
244 def ___getitem__(self, attr):
245 """ XXX currently not used
246 """
247 rnum = self._get_regnum(attr)
248 log("GPR getitem", attr, rnum)
249 return self.regfile[rnum]
250
251 def dump(self, printout=True):
252 res = []
253 for i in range(len(self)):
254 res.append(self[i].value)
255 if printout:
256 for i in range(0, len(res), 8):
257 s = []
258 for j in range(8):
259 s.append("%08x" % res[i+j])
260 s = ' '.join(s)
261 log("reg", "%2d" % i, s, kind=LogType.InstrInOuts)
262 return res
263
264
265 class SPR(dict):
266 def __init__(self, dec2, initial_sprs={}, gpr=None):
267 self.sd = dec2
268 self.gpr = gpr # for SVSHAPE[0-3]
269 dict.__init__(self)
270 for key, v in initial_sprs.items():
271 if isinstance(key, SelectableInt):
272 key = key.value
273 key = special_sprs.get(key, key)
274 if isinstance(key, int):
275 info = spr_dict[key]
276 else:
277 info = spr_byname[key]
278 if not isinstance(v, SelectableInt):
279 v = SelectableInt(v, info.length)
280 self[key] = v
281
282 def __getitem__(self, key):
283 #log("get spr", key)
284 #log("dict", self.items())
285 # if key in special_sprs get the special spr, otherwise return key
286 if isinstance(key, SelectableInt):
287 key = key.value
288 if isinstance(key, int):
289 key = spr_dict[key].SPR
290 key = special_sprs.get(key, key)
291 if key == 'HSRR0': # HACK!
292 key = 'SRR0'
293 if key == 'HSRR1': # HACK!
294 key = 'SRR1'
295 if key in self:
296 res = dict.__getitem__(self, key)
297 else:
298 if isinstance(key, int):
299 info = spr_dict[key]
300 else:
301 info = spr_byname[key]
302 self[key] = SelectableInt(0, info.length)
303 res = dict.__getitem__(self, key)
304 #log("spr returning", key, res)
305 return res
306
307 def __setitem__(self, key, value):
308 if isinstance(key, SelectableInt):
309 key = key.value
310 if isinstance(key, int):
311 key = spr_dict[key].SPR
312 log("spr key", key)
313 key = special_sprs.get(key, key)
314 if key == 'HSRR0': # HACK!
315 self.__setitem__('SRR0', value)
316 if key == 'HSRR1': # HACK!
317 self.__setitem__('SRR1', value)
318 if key == 1:
319 value = XERState(value)
320 if key in ('SVSHAPE0', 'SVSHAPE1', 'SVSHAPE2', 'SVSHAPE3'):
321 value = SVSHAPE(value, self.gpr)
322 log("setting spr", key, value)
323 dict.__setitem__(self, key, value)
324
325 def __call__(self, ridx):
326 return self[ridx]
327
328 def dump(self, printout=True):
329 res = []
330 keys = list(self.keys())
331 # keys.sort()
332 for k in keys:
333 sprname = spr_dict.get(k, None)
334 if sprname is None:
335 sprname = k
336 else:
337 sprname = sprname.SPR
338 res.append((sprname, self[k].value))
339 if printout:
340 for sprname, value in res:
341 print(" ", sprname, hex(value))
342 return res
343
344
345 class PC:
346 def __init__(self, pc_init=0):
347 self.CIA = SelectableInt(pc_init, 64)
348 self.NIA = self.CIA + SelectableInt(4, 64) # only true for v3.0B!
349
350 def update_nia(self, is_svp64):
351 increment = 8 if is_svp64 else 4
352 self.NIA = self.CIA + SelectableInt(increment, 64)
353
354 def update(self, namespace, is_svp64):
355 """updates the program counter (PC) by 4 if v3.0B mode or 8 if SVP64
356 """
357 self.CIA = namespace['NIA'].narrow(64)
358 self.update_nia(is_svp64)
359 namespace['CIA'] = self.CIA
360 namespace['NIA'] = self.NIA
361
362
363 # CR register fields
364 # See PowerISA Version 3.0 B Book 1
365 # Section 2.3.1 Condition Register pages 30 - 31
366 class CRFields:
367 LT = FL = 0 # negative, less than, floating-point less than
368 GT = FG = 1 # positive, greater than, floating-point greater than
369 EQ = FE = 2 # equal, floating-point equal
370 SO = FU = 3 # summary overflow, floating-point unordered
371
372 def __init__(self, init=0):
373 # rev_cr = int('{:016b}'.format(initial_cr)[::-1], 2)
374 # self.cr = FieldSelectableInt(self._cr, list(range(32, 64)))
375 self.cr = SelectableInt(init, 64) # underlying reg
376 # field-selectable versions of Condition Register TODO check bitranges?
377 self.crl = []
378 for i in range(8):
379 bits = tuple(range(i*4+32, (i+1)*4+32))
380 _cr = FieldSelectableInt(self.cr, bits)
381 self.crl.append(_cr)
382
383
384 # decode SVP64 predicate integer to reg number and invert
385 def get_predint(gpr, mask):
386 r3 = gpr(3)
387 r10 = gpr(10)
388 r30 = gpr(30)
389 log("get_predint", mask, SVP64PredInt.ALWAYS.value)
390 if mask == SVP64PredInt.ALWAYS.value:
391 return 0xffff_ffff_ffff_ffff # 64 bits of 1
392 if mask == SVP64PredInt.R3_UNARY.value:
393 return 1 << (r3.value & 0b111111)
394 if mask == SVP64PredInt.R3.value:
395 return r3.value
396 if mask == SVP64PredInt.R3_N.value:
397 return ~r3.value
398 if mask == SVP64PredInt.R10.value:
399 return r10.value
400 if mask == SVP64PredInt.R10_N.value:
401 return ~r10.value
402 if mask == SVP64PredInt.R30.value:
403 return r30.value
404 if mask == SVP64PredInt.R30_N.value:
405 return ~r30.value
406
407
408 # decode SVP64 predicate CR to reg number and invert status
409 def _get_predcr(mask):
410 if mask == SVP64PredCR.LT.value:
411 return 0, 1
412 if mask == SVP64PredCR.GE.value:
413 return 0, 0
414 if mask == SVP64PredCR.GT.value:
415 return 1, 1
416 if mask == SVP64PredCR.LE.value:
417 return 1, 0
418 if mask == SVP64PredCR.EQ.value:
419 return 2, 1
420 if mask == SVP64PredCR.NE.value:
421 return 2, 0
422 if mask == SVP64PredCR.SO.value:
423 return 3, 1
424 if mask == SVP64PredCR.NS.value:
425 return 3, 0
426
427
428 # read individual CR fields (0..VL-1), extract the required bit
429 # and construct the mask
430 def get_predcr(crl, mask, vl):
431 idx, noninv = _get_predcr(mask)
432 mask = 0
433 for i in range(vl):
434 cr = crl[i+SVP64CROffs.CRPred]
435 if cr[idx].value == noninv:
436 mask |= (1 << i)
437 return mask
438
439
440 # TODO, really should just be using PowerDecoder2
441 def get_idx_map(dec2, name):
442 op = dec2.dec.op
443 in1_sel = yield op.in1_sel
444 in2_sel = yield op.in2_sel
445 in3_sel = yield op.in3_sel
446 in1 = yield dec2.e.read_reg1.data
447 # identify which regnames map to in1/2/3
448 if name == 'RA' or name == 'RA_OR_ZERO':
449 if (in1_sel == In1Sel.RA.value or
450 (in1_sel == In1Sel.RA_OR_ZERO.value and in1 != 0)):
451 return 1
452 if in1_sel == In1Sel.RA_OR_ZERO.value:
453 return 1
454 elif name == 'RB':
455 if in2_sel == In2Sel.RB.value:
456 return 2
457 if in3_sel == In3Sel.RB.value:
458 return 3
459 # XXX TODO, RC doesn't exist yet!
460 elif name == 'RC':
461 if in3_sel == In3Sel.RC.value:
462 return 3
463 elif name in ['EA', 'RS']:
464 if in1_sel == In1Sel.RS.value:
465 return 1
466 if in2_sel == In2Sel.RS.value:
467 return 2
468 if in3_sel == In3Sel.RS.value:
469 return 3
470 elif name == 'FRA':
471 if in1_sel == In1Sel.FRA.value:
472 return 1
473 if in3_sel == In3Sel.FRA.value:
474 return 3
475 elif name == 'FRB':
476 if in2_sel == In2Sel.FRB.value:
477 return 2
478 elif name == 'FRC':
479 if in3_sel == In3Sel.FRC.value:
480 return 3
481 elif name == 'FRS':
482 if in1_sel == In1Sel.FRS.value:
483 return 1
484 if in3_sel == In3Sel.FRS.value:
485 return 3
486 elif name == 'FRT':
487 if in1_sel == In1Sel.FRT.value:
488 return 1
489 elif name == 'RT':
490 if in1_sel == In1Sel.RT.value:
491 return 1
492 return None
493
494
495 # TODO, really should just be using PowerDecoder2
496 def get_idx_in(dec2, name, ewmode=False):
497 idx = yield from get_idx_map(dec2, name)
498 if idx is None:
499 return None, False
500 op = dec2.dec.op
501 in1_sel = yield op.in1_sel
502 in2_sel = yield op.in2_sel
503 in3_sel = yield op.in3_sel
504 # get the IN1/2/3 from the decoder (includes SVP64 remap and isvec)
505 in1 = yield dec2.e.read_reg1.data
506 in2 = yield dec2.e.read_reg2.data
507 in3 = yield dec2.e.read_reg3.data
508 if ewmode:
509 in1_base = yield dec2.e.read_reg1.base
510 in2_base = yield dec2.e.read_reg2.base
511 in3_base = yield dec2.e.read_reg3.base
512 in1_offs = yield dec2.e.read_reg1.offs
513 in2_offs = yield dec2.e.read_reg2.offs
514 in3_offs = yield dec2.e.read_reg3.offs
515 in1 = (in1, in1_base, in1_offs)
516 in2 = (in2, in2_base, in2_offs)
517 in3 = (in3, in3_base, in3_offs)
518
519 in1_isvec = yield dec2.in1_isvec
520 in2_isvec = yield dec2.in2_isvec
521 in3_isvec = yield dec2.in3_isvec
522 log("get_idx_in in1", name, in1_sel, In1Sel.RA.value,
523 in1, in1_isvec)
524 log("get_idx_in in2", name, in2_sel, In2Sel.RB.value,
525 in2, in2_isvec)
526 log("get_idx_in in3", name, in3_sel, In3Sel.RS.value,
527 in3, in3_isvec)
528 log("get_idx_in FRS in3", name, in3_sel, In3Sel.FRS.value,
529 in3, in3_isvec)
530 log("get_idx_in FRB in2", name, in2_sel, In2Sel.FRB.value,
531 in2, in2_isvec)
532 log("get_idx_in FRC in3", name, in3_sel, In3Sel.FRC.value,
533 in3, in3_isvec)
534 if idx == 1:
535 return in1, in1_isvec
536 if idx == 2:
537 return in2, in2_isvec
538 if idx == 3:
539 return in3, in3_isvec
540 return None, False
541
542
543 # TODO, really should just be using PowerDecoder2
544 def get_cr_in(dec2, name):
545 op = dec2.dec.op
546 in_sel = yield op.cr_in
547 in_bitfield = yield dec2.dec_cr_in.cr_bitfield.data
548 sv_cr_in = yield op.sv_cr_in
549 spec = yield dec2.crin_svdec.spec
550 sv_override = yield dec2.dec_cr_in.sv_override
551 # get the IN1/2/3 from the decoder (includes SVP64 remap and isvec)
552 in1 = yield dec2.e.read_cr1.data
553 cr_isvec = yield dec2.cr_in_isvec
554 log("get_cr_in", in_sel, CROutSel.CR0.value, in1, cr_isvec)
555 log(" sv_cr_in", sv_cr_in)
556 log(" cr_bf", in_bitfield)
557 log(" spec", spec)
558 log(" override", sv_override)
559 # identify which regnames map to in / o2
560 if name == 'BI':
561 if in_sel == CRInSel.BI.value:
562 return in1, cr_isvec
563 log("get_cr_in not found", name)
564 return None, False
565
566
567 # TODO, really should just be using PowerDecoder2
568 def get_cr_out(dec2, name):
569 op = dec2.dec.op
570 out_sel = yield op.cr_out
571 out_bitfield = yield dec2.dec_cr_out.cr_bitfield.data
572 sv_cr_out = yield op.sv_cr_out
573 spec = yield dec2.crout_svdec.spec
574 sv_override = yield dec2.dec_cr_out.sv_override
575 # get the IN1/2/3 from the decoder (includes SVP64 remap and isvec)
576 out = yield dec2.e.write_cr.data
577 o_isvec = yield dec2.cr_out_isvec
578 log("get_cr_out", out_sel, CROutSel.CR0.value, out, o_isvec)
579 log(" sv_cr_out", sv_cr_out)
580 log(" cr_bf", out_bitfield)
581 log(" spec", spec)
582 log(" override", sv_override)
583 # identify which regnames map to out / o2
584 if name == 'BF':
585 if out_sel == CROutSel.BF.value:
586 return out, o_isvec
587 if name == 'CR0':
588 if out_sel == CROutSel.CR0.value:
589 return out, o_isvec
590 if name == 'CR1': # these are not actually calculated correctly
591 if out_sel == CROutSel.CR1.value:
592 return out, o_isvec
593 # check RC1 set? if so return implicit vector, this is a REAL bad hack
594 RC1 = yield dec2.rm_dec.RC1
595 if RC1:
596 log("get_cr_out RC1 mode")
597 if name == 'CR0':
598 return 0, True # XXX TODO: offset CR0 from SVSTATE SPR
599 if name == 'CR1':
600 return 1, True # XXX TODO: offset CR1 from SVSTATE SPR
601 # nope - not found.
602 log("get_cr_out not found", name)
603 return None, False
604
605
606 # TODO, really should just be using PowerDecoder2
607 def get_out_map(dec2, name):
608 op = dec2.dec.op
609 out_sel = yield op.out_sel
610 # get the IN1/2/3 from the decoder (includes SVP64 remap and isvec)
611 out = yield dec2.e.write_reg.data
612 # identify which regnames map to out / o2
613 if name == 'RA':
614 if out_sel == OutSel.RA.value:
615 return True
616 elif name == 'RT':
617 if out_sel == OutSel.RT.value:
618 return True
619 if out_sel == OutSel.RT_OR_ZERO.value and out != 0:
620 return True
621 elif name == 'RT_OR_ZERO':
622 if out_sel == OutSel.RT_OR_ZERO.value:
623 return True
624 elif name == 'FRA':
625 if out_sel == OutSel.FRA.value:
626 return True
627 elif name == 'FRS':
628 if out_sel == OutSel.FRS.value:
629 return True
630 elif name == 'FRT':
631 if out_sel == OutSel.FRT.value:
632 return True
633 return False
634
635
636 # TODO, really should just be using PowerDecoder2
637 def get_idx_out(dec2, name, ewmode=False):
638 op = dec2.dec.op
639 out_sel = yield op.out_sel
640 # get the IN1/2/3 from the decoder (includes SVP64 remap and isvec)
641 out = yield dec2.e.write_reg.data
642 o_isvec = yield dec2.o_isvec
643 if ewmode:
644 offs = yield dec2.e.write_reg.offs
645 base = yield dec2.e.write_reg.base
646 out = (out, base, offs)
647 # identify which regnames map to out / o2
648 ismap = yield from get_out_map(dec2, name)
649 if ismap:
650 log("get_idx_out", name, out_sel, out, o_isvec)
651 return out, o_isvec
652 log("get_idx_out not found", name, out_sel, out, o_isvec)
653 return None, False
654
655
656 # TODO, really should just be using PowerDecoder2
657 def get_out2_map(dec2, name):
658 # check first if register is activated for write
659 op = dec2.dec.op
660 out_sel = yield op.out_sel
661 out = yield dec2.e.write_ea.data
662 out_ok = yield dec2.e.write_ea.ok
663 if not out_ok:
664 return False
665
666 if name in ['EA', 'RA']:
667 if hasattr(op, "upd"):
668 # update mode LD/ST uses read-reg A also as an output
669 upd = yield op.upd
670 log("get_idx_out2", upd, LDSTMode.update.value,
671 out_sel, OutSel.RA.value,
672 out)
673 if upd == LDSTMode.update.value:
674 return True
675 if name == 'RS':
676 fft_en = yield dec2.implicit_rs
677 if fft_en:
678 log("get_idx_out2", out_sel, OutSel.RS.value,
679 out)
680 return True
681 if name == 'FRS':
682 fft_en = yield dec2.implicit_rs
683 if fft_en:
684 log("get_idx_out2", out_sel, OutSel.FRS.value,
685 out)
686 return True
687 return False
688
689
690 # TODO, really should just be using PowerDecoder2
691 def get_idx_out2(dec2, name, ewmode=False):
692 # check first if register is activated for write
693 op = dec2.dec.op
694 out_sel = yield op.out_sel
695 out = yield dec2.e.write_ea.data
696 if ewmode:
697 offs = yield dec2.e.write_ea.offs
698 base = yield dec2.e.write_ea.base
699 out = (out, base, offs)
700 o_isvec = yield dec2.o2_isvec
701 ismap = yield from get_out2_map(dec2, name)
702 if ismap:
703 log("get_idx_out2", name, out_sel, out, o_isvec)
704 return out, o_isvec
705 return None, False
706
707
708 class StepLoop:
709 """deals with svstate looping.
710 """
711
712 def __init__(self, svstate):
713 self.svstate = svstate
714 self.new_iterators()
715
716 def new_iterators(self):
717 self.src_it = self.src_iterator()
718 self.dst_it = self.dst_iterator()
719 self.loopend = False
720 self.new_srcstep = 0
721 self.new_dststep = 0
722 self.new_ssubstep = 0
723 self.new_dsubstep = 0
724 self.pred_dst_zero = 0
725 self.pred_src_zero = 0
726
727 def src_iterator(self):
728 """source-stepping iterator
729 """
730 pack = self.svstate.pack
731
732 # source step
733 if pack:
734 # pack advances subvl in *outer* loop
735 while True: # outer subvl loop
736 while True: # inner vl loop
737 vl = self.svstate.vl
738 subvl = self.subvl
739 srcmask = self.srcmask
740 srcstep = self.svstate.srcstep
741 pred_src_zero = ((1 << srcstep) & srcmask) != 0
742 if self.pred_sz or pred_src_zero:
743 self.pred_src_zero = not pred_src_zero
744 log(" advance src", srcstep, vl,
745 self.svstate.ssubstep, subvl)
746 # yield actual substep/srcstep
747 yield (self.svstate.ssubstep, srcstep)
748 # the way yield works these could have been modified.
749 vl = self.svstate.vl
750 subvl = self.subvl
751 srcstep = self.svstate.srcstep
752 log(" advance src check", srcstep, vl,
753 self.svstate.ssubstep, subvl, srcstep == vl-1,
754 self.svstate.ssubstep == subvl)
755 if srcstep == vl-1: # end-point
756 self.svstate.srcstep = SelectableInt(0, 7) # reset
757 if self.svstate.ssubstep == subvl: # end-point
758 log(" advance pack stop")
759 return
760 break # exit inner loop
761 self.svstate.srcstep += SelectableInt(1, 7) # advance ss
762 subvl = self.subvl
763 if self.svstate.ssubstep == subvl: # end-point
764 self.svstate.ssubstep = SelectableInt(0, 2) # reset
765 log(" advance pack stop")
766 return
767 self.svstate.ssubstep += SelectableInt(1, 2)
768
769 else:
770 # these cannot be done as for-loops because SVSTATE may change
771 # (srcstep/substep may be modified, interrupted, subvl/vl change)
772 # but they *can* be done as while-loops as long as every SVSTATE
773 # "thing" is re-read every single time a yield gives indices
774 while True: # outer vl loop
775 while True: # inner subvl loop
776 vl = self.svstate.vl
777 subvl = self.subvl
778 srcmask = self.srcmask
779 srcstep = self.svstate.srcstep
780 pred_src_zero = ((1 << srcstep) & srcmask) != 0
781 if self.pred_sz or pred_src_zero:
782 self.pred_src_zero = not pred_src_zero
783 log(" advance src", srcstep, vl,
784 self.svstate.ssubstep, subvl)
785 # yield actual substep/srcstep
786 yield (self.svstate.ssubstep, srcstep)
787 if self.svstate.ssubstep == subvl: # end-point
788 self.svstate.ssubstep = SelectableInt(0, 2) # reset
789 break # exit inner loop
790 self.svstate.ssubstep += SelectableInt(1, 2)
791 vl = self.svstate.vl
792 if srcstep == vl-1: # end-point
793 self.svstate.srcstep = SelectableInt(0, 7) # reset
794 self.loopend = True
795 return
796 self.svstate.srcstep += SelectableInt(1, 7) # advance srcstep
797
798 def dst_iterator(self):
799 """dest-stepping iterator
800 """
801 unpack = self.svstate.unpack
802
803 # dest step
804 if unpack:
805 # pack advances subvl in *outer* loop
806 while True: # outer subvl loop
807 while True: # inner vl loop
808 vl = self.svstate.vl
809 subvl = self.subvl
810 dstmask = self.dstmask
811 dststep = self.svstate.dststep
812 pred_dst_zero = ((1 << dststep) & dstmask) != 0
813 if self.pred_dz or pred_dst_zero:
814 self.pred_dst_zero = not pred_dst_zero
815 log(" advance dst", dststep, vl,
816 self.svstate.dsubstep, subvl)
817 # yield actual substep/dststep
818 yield (self.svstate.dsubstep, dststep)
819 # the way yield works these could have been modified.
820 vl = self.svstate.vl
821 dststep = self.svstate.dststep
822 log(" advance dst check", dststep, vl,
823 self.svstate.ssubstep, subvl)
824 if dststep == vl-1: # end-point
825 self.svstate.dststep = SelectableInt(0, 7) # reset
826 if self.svstate.dsubstep == subvl: # end-point
827 log(" advance unpack stop")
828 return
829 break
830 self.svstate.dststep += SelectableInt(1, 7) # advance ds
831 subvl = self.subvl
832 if self.svstate.dsubstep == subvl: # end-point
833 self.svstate.dsubstep = SelectableInt(0, 2) # reset
834 log(" advance unpack stop")
835 return
836 self.svstate.dsubstep += SelectableInt(1, 2)
837 else:
838 # these cannot be done as for-loops because SVSTATE may change
839 # (dststep/substep may be modified, interrupted, subvl/vl change)
840 # but they *can* be done as while-loops as long as every SVSTATE
841 # "thing" is re-read every single time a yield gives indices
842 while True: # outer vl loop
843 while True: # inner subvl loop
844 subvl = self.subvl
845 dstmask = self.dstmask
846 dststep = self.svstate.dststep
847 pred_dst_zero = ((1 << dststep) & dstmask) != 0
848 if self.pred_dz or pred_dst_zero:
849 self.pred_dst_zero = not pred_dst_zero
850 log(" advance dst", dststep, self.svstate.vl,
851 self.svstate.dsubstep, subvl)
852 # yield actual substep/dststep
853 yield (self.svstate.dsubstep, dststep)
854 if self.svstate.dsubstep == subvl: # end-point
855 self.svstate.dsubstep = SelectableInt(0, 2) # reset
856 break
857 self.svstate.dsubstep += SelectableInt(1, 2)
858 subvl = self.subvl
859 vl = self.svstate.vl
860 if dststep == vl-1: # end-point
861 self.svstate.dststep = SelectableInt(0, 7) # reset
862 return
863 self.svstate.dststep += SelectableInt(1, 7) # advance dststep
864
865 def src_iterate(self):
866 """source-stepping iterator
867 """
868 subvl = self.subvl
869 vl = self.svstate.vl
870 pack = self.svstate.pack
871 unpack = self.svstate.unpack
872 ssubstep = self.svstate.ssubstep
873 end_ssub = ssubstep == subvl
874 end_src = self.svstate.srcstep == vl-1
875 log(" pack/unpack/subvl", pack, unpack, subvl,
876 "end", end_src,
877 "sub", end_ssub)
878 # first source step
879 srcstep = self.svstate.srcstep
880 srcmask = self.srcmask
881 if pack:
882 # pack advances subvl in *outer* loop
883 while True:
884 assert srcstep <= vl-1
885 end_src = srcstep == vl-1
886 if end_src:
887 if end_ssub:
888 self.loopend = True
889 else:
890 self.svstate.ssubstep += SelectableInt(1, 2)
891 srcstep = 0 # reset
892 break
893 else:
894 srcstep += 1 # advance srcstep
895 if not self.srcstep_skip:
896 break
897 if ((1 << srcstep) & srcmask) != 0:
898 break
899 else:
900 log(" sskip", bin(srcmask), bin(1 << srcstep))
901 else:
902 # advance subvl in *inner* loop
903 if end_ssub:
904 while True:
905 assert srcstep <= vl-1
906 end_src = srcstep == vl-1
907 if end_src: # end-point
908 self.loopend = True
909 srcstep = 0
910 break
911 else:
912 srcstep += 1
913 if not self.srcstep_skip:
914 break
915 if ((1 << srcstep) & srcmask) != 0:
916 break
917 else:
918 log(" sskip", bin(srcmask), bin(1 << srcstep))
919 self.svstate.ssubstep = SelectableInt(0, 2) # reset
920 else:
921 # advance ssubstep
922 self.svstate.ssubstep += SelectableInt(1, 2)
923
924 self.svstate.srcstep = SelectableInt(srcstep, 7)
925 log(" advance src", self.svstate.srcstep, self.svstate.ssubstep,
926 self.loopend)
927
928 def dst_iterate(self):
929 """dest step iterator
930 """
931 vl = self.svstate.vl
932 subvl = self.subvl
933 pack = self.svstate.pack
934 unpack = self.svstate.unpack
935 dsubstep = self.svstate.dsubstep
936 end_dsub = dsubstep == subvl
937 dststep = self.svstate.dststep
938 end_dst = dststep == vl-1
939 dstmask = self.dstmask
940 log(" pack/unpack/subvl", pack, unpack, subvl,
941 "end", end_dst,
942 "sub", end_dsub)
943 # now dest step
944 if unpack:
945 # unpack advances subvl in *outer* loop
946 while True:
947 assert dststep <= vl-1
948 end_dst = dststep == vl-1
949 if end_dst:
950 if end_dsub:
951 self.loopend = True
952 else:
953 self.svstate.dsubstep += SelectableInt(1, 2)
954 dststep = 0 # reset
955 break
956 else:
957 dststep += 1 # advance dststep
958 if not self.dststep_skip:
959 break
960 if ((1 << dststep) & dstmask) != 0:
961 break
962 else:
963 log(" dskip", bin(dstmask), bin(1 << dststep))
964 else:
965 # advance subvl in *inner* loop
966 if end_dsub:
967 while True:
968 assert dststep <= vl-1
969 end_dst = dststep == vl-1
970 if end_dst: # end-point
971 self.loopend = True
972 dststep = 0
973 break
974 else:
975 dststep += 1
976 if not self.dststep_skip:
977 break
978 if ((1 << dststep) & dstmask) != 0:
979 break
980 else:
981 log(" dskip", bin(dstmask), bin(1 << dststep))
982 self.svstate.dsubstep = SelectableInt(0, 2) # reset
983 else:
984 # advance ssubstep
985 self.svstate.dsubstep += SelectableInt(1, 2)
986
987 self.svstate.dststep = SelectableInt(dststep, 7)
988 log(" advance dst", self.svstate.dststep, self.svstate.dsubstep,
989 self.loopend)
990
991 def at_loopend(self):
992 """tells if this is the last possible element. uses the cached values
993 for src/dst-step and sub-steps
994 """
995 subvl = self.subvl
996 vl = self.svstate.vl
997 srcstep, dststep = self.new_srcstep, self.new_dststep
998 ssubstep, dsubstep = self.new_ssubstep, self.new_dsubstep
999 end_ssub = ssubstep == subvl
1000 end_dsub = dsubstep == subvl
1001 if srcstep == vl-1 and end_ssub:
1002 return True
1003 if dststep == vl-1 and end_dsub:
1004 return True
1005 return False
1006
1007 def advance_svstate_steps(self):
1008 """ advance sub/steps. note that Pack/Unpack *INVERTS* the order.
1009 TODO when Pack/Unpack is set, substep becomes the *outer* loop
1010 """
1011 self.subvl = yield self.dec2.rm_dec.rm_in.subvl
1012 if self.loopend: # huhn??
1013 return
1014 self.src_iterate()
1015 self.dst_iterate()
1016
1017 def read_src_mask(self):
1018 """read/update pred_sz and src mask
1019 """
1020 # get SVSTATE VL (oh and print out some debug stuff)
1021 vl = self.svstate.vl
1022 srcstep = self.svstate.srcstep
1023 ssubstep = self.svstate.ssubstep
1024
1025 # get predicate mask (all 64 bits)
1026 srcmask = 0xffff_ffff_ffff_ffff
1027
1028 pmode = yield self.dec2.rm_dec.predmode
1029 sv_ptype = yield self.dec2.dec.op.SV_Ptype
1030 srcpred = yield self.dec2.rm_dec.srcpred
1031 dstpred = yield self.dec2.rm_dec.dstpred
1032 pred_sz = yield self.dec2.rm_dec.pred_sz
1033 if pmode == SVP64PredMode.INT.value:
1034 srcmask = dstmask = get_predint(self.gpr, dstpred)
1035 if sv_ptype == SVPType.P2.value:
1036 srcmask = get_predint(self.gpr, srcpred)
1037 elif pmode == SVP64PredMode.CR.value:
1038 srcmask = dstmask = get_predcr(self.crl, dstpred, vl)
1039 if sv_ptype == SVPType.P2.value:
1040 srcmask = get_predcr(self.crl, srcpred, vl)
1041 # work out if the ssubsteps are completed
1042 ssubstart = ssubstep == 0
1043 log(" pmode", pmode)
1044 log(" ptype", sv_ptype)
1045 log(" srcpred", bin(srcpred))
1046 log(" srcmask", bin(srcmask))
1047 log(" pred_sz", bin(pred_sz))
1048 log(" ssubstart", ssubstart)
1049
1050 # store all that above
1051 self.srcstep_skip = False
1052 self.srcmask = srcmask
1053 self.pred_sz = pred_sz
1054 self.new_ssubstep = ssubstep
1055 log(" new ssubstep", ssubstep)
1056 # until the predicate mask has a "1" bit... or we run out of VL
1057 # let srcstep==VL be the indicator to move to next instruction
1058 if not pred_sz:
1059 self.srcstep_skip = True
1060
1061 def read_dst_mask(self):
1062 """same as read_src_mask - check and record everything needed
1063 """
1064 # get SVSTATE VL (oh and print out some debug stuff)
1065 # yield Delay(1e-10) # make changes visible
1066 vl = self.svstate.vl
1067 dststep = self.svstate.dststep
1068 dsubstep = self.svstate.dsubstep
1069
1070 # get predicate mask (all 64 bits)
1071 dstmask = 0xffff_ffff_ffff_ffff
1072
1073 pmode = yield self.dec2.rm_dec.predmode
1074 reverse_gear = yield self.dec2.rm_dec.reverse_gear
1075 sv_ptype = yield self.dec2.dec.op.SV_Ptype
1076 dstpred = yield self.dec2.rm_dec.dstpred
1077 pred_dz = yield self.dec2.rm_dec.pred_dz
1078 if pmode == SVP64PredMode.INT.value:
1079 dstmask = get_predint(self.gpr, dstpred)
1080 elif pmode == SVP64PredMode.CR.value:
1081 dstmask = get_predcr(self.crl, dstpred, vl)
1082 # work out if the ssubsteps are completed
1083 dsubstart = dsubstep == 0
1084 log(" pmode", pmode)
1085 log(" ptype", sv_ptype)
1086 log(" dstpred", bin(dstpred))
1087 log(" dstmask", bin(dstmask))
1088 log(" pred_dz", bin(pred_dz))
1089 log(" dsubstart", dsubstart)
1090
1091 self.dststep_skip = False
1092 self.dstmask = dstmask
1093 self.pred_dz = pred_dz
1094 self.new_dsubstep = dsubstep
1095 log(" new dsubstep", dsubstep)
1096 if not pred_dz:
1097 self.dststep_skip = True
1098
1099 def svstate_pre_inc(self):
1100 """check if srcstep/dststep need to skip over masked-out predicate bits
1101 note that this is not supposed to do anything to substep,
1102 it is purely for skipping masked-out bits
1103 """
1104
1105 self.subvl = yield self.dec2.rm_dec.rm_in.subvl
1106 yield from self.read_src_mask()
1107 yield from self.read_dst_mask()
1108
1109 self.skip_src()
1110 self.skip_dst()
1111
1112 def skip_src(self):
1113
1114 srcstep = self.svstate.srcstep
1115 srcmask = self.srcmask
1116 pred_src_zero = self.pred_sz
1117 vl = self.svstate.vl
1118 # srcstep-skipping opportunity identified
1119 if self.srcstep_skip:
1120 # cannot do this with sv.bc - XXX TODO
1121 if srcmask == 0:
1122 self.loopend = True
1123 while (((1 << srcstep) & srcmask) == 0) and (srcstep != vl):
1124 log(" sskip", bin(1 << srcstep))
1125 srcstep += 1
1126
1127 # now work out if the relevant mask bits require zeroing
1128 if pred_src_zero:
1129 pred_src_zero = ((1 << srcstep) & srcmask) == 0
1130
1131 # store new srcstep / dststep
1132 self.new_srcstep = srcstep
1133 self.pred_src_zero = pred_src_zero
1134 log(" new srcstep", srcstep)
1135
1136 def skip_dst(self):
1137 # dststep-skipping opportunity identified
1138 dststep = self.svstate.dststep
1139 dstmask = self.dstmask
1140 pred_dst_zero = self.pred_dz
1141 vl = self.svstate.vl
1142 if self.dststep_skip:
1143 # cannot do this with sv.bc - XXX TODO
1144 if dstmask == 0:
1145 self.loopend = True
1146 while (((1 << dststep) & dstmask) == 0) and (dststep != vl):
1147 log(" dskip", bin(1 << dststep))
1148 dststep += 1
1149
1150 # now work out if the relevant mask bits require zeroing
1151 if pred_dst_zero:
1152 pred_dst_zero = ((1 << dststep) & dstmask) == 0
1153
1154 # store new srcstep / dststep
1155 self.new_dststep = dststep
1156 self.pred_dst_zero = pred_dst_zero
1157 log(" new dststep", dststep)
1158
1159
1160 class ExitSyscallCalled(Exception):
1161 pass
1162
1163
1164 class SyscallEmulator(openpower.syscalls.Dispatcher):
1165 def __init__(self, isacaller):
1166 self.__isacaller = isacaller
1167
1168 host = os.uname().machine
1169 bits = (64 if (sys.maxsize > (2**32)) else 32)
1170 host = openpower.syscalls.architecture(arch=host, bits=bits)
1171
1172 return super().__init__(guest="ppc64", host=host)
1173
1174 def __call__(self, identifier, *arguments):
1175 (identifier, *arguments) = map(int, (identifier, *arguments))
1176 return super().__call__(identifier, *arguments)
1177
1178 def sys_exit_group(self, status, *rest):
1179 self.__isacaller.halted = True
1180 raise ExitSyscallCalled(status)
1181
1182 def sys_write(self, fd, buf, count, *rest):
1183 if count != 0:
1184 buf = self.__isacaller.mem.get_ctypes(buf, count, is_write=False)
1185 else:
1186 buf = b""
1187 try:
1188 return os.write(fd, buf)
1189 except OSError as e:
1190 return -e.errno
1191
1192 def sys_writev(self, fd, iov, iovcnt, *rest):
1193 IOV_MAX = 1024
1194 if iovcnt < 0 or iovcnt > IOV_MAX:
1195 return -errno.EINVAL
1196 struct_iovec = struct.Struct("<QQ")
1197 try:
1198 if iovcnt > 0:
1199 iov = self.__isacaller.mem.get_ctypes(
1200 iov, struct_iovec.size * iovcnt, is_write=False)
1201 iov = list(struct_iovec.iter_unpack(iov))
1202 else:
1203 iov = []
1204 for i, iovec in enumerate(iov):
1205 iov_base, iov_len = iovec
1206 iov[i] = self.__isacaller.mem.get_ctypes(
1207 iov_base, iov_len, is_write=False)
1208 except (ValueError, MemException):
1209 return -errno.EFAULT
1210 try:
1211 return os.writev(fd, iov)
1212 except OSError as e:
1213 return -e.errno
1214
1215 def sys_read(self, fd, buf, count, *rest):
1216 if count != 0:
1217 buf = self.__isacaller.mem.get_ctypes(buf, count, is_write=True)
1218 else:
1219 buf = bytearray()
1220 try:
1221 return os.readv(fd, [buf])
1222 except OSError as e:
1223 return -e.errno
1224
1225 def sys_mmap(self, addr, length, prot, flags, fd, offset, *rest):
1226 return self.__isacaller.mem.mmap_syscall(
1227 addr, length, prot, flags, fd, offset, is_mmap2=False)
1228
1229 def sys_mmap2(self, addr, length, prot, flags, fd, offset, *rest):
1230 return self.__isacaller.mem.mmap_syscall(
1231 addr, length, prot, flags, fd, offset, is_mmap2=True)
1232
1233 def sys_brk(self, addr, *rest):
1234 return self.__isacaller.mem.brk_syscall(addr)
1235
1236 def sys_munmap(self, addr, length, *rest):
1237 return -errno.ENOSYS # TODO: implement
1238
1239 def sys_mprotect(self, addr, length, prot, *rest):
1240 return -errno.ENOSYS # TODO: implement
1241
1242 def sys_pkey_mprotect(self, addr, length, prot, pkey, *rest):
1243 return -errno.ENOSYS # TODO: implement
1244
1245 def sys_openat(self, dirfd, pathname, flags, mode, *rest):
1246 try:
1247 path = self.__isacaller.mem.read_cstr(pathname)
1248 except (ValueError, MemException):
1249 return -errno.EFAULT
1250 try:
1251 if dirfd == ppc_flags.AT_FDCWD:
1252 return os.open(path, flags, mode)
1253 else:
1254 return os.open(path, flags, mode, dir_fd=dirfd)
1255 except OSError as e:
1256 return -e.errno
1257
1258 def _uname(self):
1259 uname = os.uname()
1260 sysname = b'Linux'
1261 nodename = uname.nodename.encode()
1262 release = b'5.6.0-1-powerpc64le'
1263 version = b'#1 SMP Debian 5.6.7-1 (2020-04-29)'
1264 machine = b'ppc64le'
1265 domainname = b''
1266 return sysname, nodename, release, version, machine, domainname
1267
1268 def sys_uname(self, buf, *rest):
1269 s = struct.Struct("<65s65s65s65s65s")
1270 try:
1271 buf = self.__isacaller.mem.get_ctypes(buf, s.size, is_write=True)
1272 except (ValueError, MemException):
1273 return -errno.EFAULT
1274 sysname, nodename, release, version, machine, domainname = \
1275 self._uname()
1276 s.pack_into(buf, 0, sysname, nodename, release, version, machine)
1277 return 0
1278
1279 def sys_newuname(self, buf, *rest):
1280 name_len = ppc_flags.__NEW_UTS_LEN + 1
1281 s = struct.Struct("<%ds%ds%ds%ds%ds%ds" % ((name_len,) * 6))
1282 try:
1283 buf = self.__isacaller.mem.get_ctypes(buf, s.size, is_write=True)
1284 except (ValueError, MemException):
1285 return -errno.EFAULT
1286 sysname, nodename, release, version, machine, domainname = \
1287 self._uname()
1288 s.pack_into(buf, 0,
1289 sysname, nodename, release, version, machine, domainname)
1290 return 0
1291
1292 def sys_readlink(self, pathname, buf, bufsiz, *rest):
1293 dirfd = ppc_flags.AT_FDCWD
1294 return self.sys_readlinkat(dirfd, pathname, buf, bufsiz)
1295
1296 def sys_readlinkat(self, dirfd, pathname, buf, bufsiz, *rest):
1297 try:
1298 path = self.__isacaller.mem.read_cstr(pathname)
1299 if bufsiz != 0:
1300 buf = self.__isacaller.mem.get_ctypes(
1301 buf, bufsiz, is_write=True)
1302 else:
1303 buf = bytearray()
1304 except (ValueError, MemException):
1305 return -errno.EFAULT
1306 try:
1307 if dirfd == ppc_flags.AT_FDCWD:
1308 result = os.readlink(path)
1309 else:
1310 result = os.readlink(path, dir_fd=dirfd)
1311 retval = min(len(result), len(buf))
1312 buf[:retval] = result[:retval]
1313 return retval
1314 except OSError as e:
1315 return -e.errno
1316
1317
1318 class ISACaller(ISACallerHelper, ISAFPHelpers, StepLoop):
1319 # decoder2 - an instance of power_decoder2
1320 # regfile - a list of initial values for the registers
1321 # initial_{etc} - initial values for SPRs, Condition Register, Mem, MSR
1322 # respect_pc - tracks the program counter. requires initial_insns
1323 def __init__(self, decoder2, regfile, initial_sprs=None, initial_cr=0,
1324 initial_mem=None, initial_msr=0,
1325 initial_svstate=0,
1326 initial_insns=None,
1327 fpregfile=None,
1328 respect_pc=False,
1329 disassembly=None,
1330 initial_pc=0,
1331 bigendian=False,
1332 mmu=False,
1333 icachemmu=False,
1334 initial_fpscr=0,
1335 insnlog=None,
1336 use_mmap_mem=False,
1337 use_syscall_emu=False,
1338 emulating_mmap=False,
1339 real_page_size=None):
1340 if use_syscall_emu:
1341 self.syscall = SyscallEmulator(isacaller=self)
1342 if not use_mmap_mem:
1343 log("forcing use_mmap_mem due to use_syscall_emu active")
1344 use_mmap_mem = True
1345 else:
1346 self.syscall = None
1347
1348 # we will eventually be able to load ELF files without use_syscall_emu
1349 # (e.g. the linux kernel), so do it in a separate if block
1350 if isinstance(initial_insns, ELFFile):
1351 if not use_mmap_mem:
1352 log("forcing use_mmap_mem due to loading an ELF file")
1353 use_mmap_mem = True
1354 if not emulating_mmap:
1355 log("forcing emulating_mmap due to loading an ELF file")
1356 emulating_mmap = True
1357
1358 # trace log file for model output. if None do nothing
1359 self.insnlog = insnlog
1360 self.insnlog_is_file = hasattr(insnlog, "write")
1361 if not self.insnlog_is_file and self.insnlog:
1362 self.insnlog = open(self.insnlog, "w")
1363
1364 self.bigendian = bigendian
1365 self.halted = False
1366 self.is_svp64_mode = False
1367 self.respect_pc = respect_pc
1368 if initial_sprs is None:
1369 initial_sprs = {}
1370 if initial_mem is None:
1371 initial_mem = {}
1372 if fpregfile is None:
1373 fpregfile = [0] * 32
1374 if initial_insns is None:
1375 initial_insns = {}
1376 assert self.respect_pc == False, "instructions required to honor pc"
1377 if initial_msr is None:
1378 initial_msr = DEFAULT_MSR
1379
1380 log("ISACaller insns", respect_pc, initial_insns, disassembly)
1381 log("ISACaller initial_msr", initial_msr)
1382
1383 # "fake program counter" mode (for unit testing)
1384 self.fake_pc = 0
1385 disasm_start = 0
1386 if not respect_pc:
1387 if isinstance(initial_mem, tuple):
1388 self.fake_pc = initial_mem[0]
1389 disasm_start = self.fake_pc
1390 else:
1391 disasm_start = initial_pc
1392
1393 # disassembly: we need this for now (not given from the decoder)
1394 self.disassembly = {}
1395 if disassembly:
1396 for i, code in enumerate(disassembly):
1397 self.disassembly[i*4 + disasm_start] = code
1398
1399 # set up registers, instruction memory, data memory, PC, SPRs, MSR, CR
1400 self.svp64rm = SVP64RM()
1401 if initial_svstate is None:
1402 initial_svstate = 0
1403 if isinstance(initial_svstate, int):
1404 initial_svstate = SVP64State(initial_svstate)
1405 # SVSTATE, MSR and PC
1406 StepLoop.__init__(self, initial_svstate)
1407 self.msr = SelectableInt(initial_msr, 64) # underlying reg
1408 self.pc = PC()
1409 # GPR FPR SPR registers
1410 initial_sprs = deepcopy(initial_sprs) # so as not to get modified
1411 self.gpr = GPR(decoder2, self, self.svstate, regfile)
1412 self.fpr = GPR(decoder2, self, self.svstate, fpregfile)
1413 # initialise SPRs before MMU
1414 self.spr = SPR(decoder2, initial_sprs, gpr=self.gpr)
1415
1416 # set up 4 dummy SVSHAPEs if they aren't already set up
1417 for i in range(4):
1418 sname = 'SVSHAPE%d' % i
1419 val = self.spr.get(sname, 0)
1420 # make sure it's an SVSHAPE -- conversion done by SPR.__setitem__
1421 self.spr[sname] = val
1422 self.last_op_svshape = False
1423
1424 # "raw" memory
1425 if use_mmap_mem:
1426 self.mem = MemMMap(row_bytes=8,
1427 initial_mem=initial_mem,
1428 misaligned_ok=True,
1429 emulating_mmap=emulating_mmap)
1430 self.imem = self.mem
1431 lelf = self.mem.initialize(row_bytes=4, initial_mem=initial_insns)
1432 if isinstance(lelf, LoadedELF): # stuff parsed from ELF
1433 initial_pc = lelf.pc
1434 for k, v in lelf.gprs.items():
1435 self.gpr[k] = SelectableInt(v, 64)
1436 initial_fpscr = lelf.fpscr
1437 self.mem.log_fancy(kind=LogType.InstrInOuts)
1438 else:
1439 self.mem = Mem(row_bytes=8, initial_mem=initial_mem,
1440 misaligned_ok=True)
1441 self.mem.log_fancy(kind=LogType.InstrInOuts)
1442 self.imem = Mem(row_bytes=4, initial_mem=initial_insns)
1443 # MMU mode, redirect underlying Mem through RADIX
1444 if mmu:
1445 self.mem = RADIX(self.mem, self)
1446 if icachemmu:
1447 self.imem = RADIX(self.imem, self)
1448
1449 # TODO, needed here:
1450 # FPR (same as GPR except for FP nums)
1451 # 4.2.2 p124 FPSCR (definitely "separate" - not in SPR)
1452 # note that mffs, mcrfs, mtfsf "manage" this FPSCR
1453 self.fpscr = FPSCRState(initial_fpscr)
1454
1455 # 2.3.1 CR (and sub-fields CR0..CR6 - CR0 SO comes from XER.SO)
1456 # note that mfocrf, mfcr, mtcr, mtocrf, mcrxrx "manage" CRs
1457 # -- Done
1458 # 2.3.2 LR (actually SPR #8) -- Done
1459 # 2.3.3 CTR (actually SPR #9) -- Done
1460 # 2.3.4 TAR (actually SPR #815)
1461 # 3.2.2 p45 XER (actually SPR #1) -- Done
1462 # 3.2.3 p46 p232 VRSAVE (actually SPR #256)
1463
1464 # create CR then allow portions of it to be "selectable" (below)
1465 self.cr_fields = CRFields(initial_cr)
1466 self.cr = self.cr_fields.cr
1467 self.cr_backup = 0 # sigh, dreadful hack: for fail-first (VLi)
1468
1469 # "undefined", just set to variable-bit-width int (use exts "max")
1470 # self.undefined = SelectableInt(0, EFFECTIVELY_UNLIMITED)
1471
1472 self.namespace = {}
1473 self.namespace.update(self.spr)
1474 self.namespace.update({'GPR': self.gpr,
1475 'FPR': self.fpr,
1476 'MEM': self.mem,
1477 'SPR': self.spr,
1478 'memassign': self.memassign,
1479 'NIA': self.pc.NIA,
1480 'CIA': self.pc.CIA,
1481 'SVSTATE': self.svstate,
1482 'SVSHAPE0': self.spr['SVSHAPE0'],
1483 'SVSHAPE1': self.spr['SVSHAPE1'],
1484 'SVSHAPE2': self.spr['SVSHAPE2'],
1485 'SVSHAPE3': self.spr['SVSHAPE3'],
1486 'CR': self.cr,
1487 'MSR': self.msr,
1488 'FPSCR': self.fpscr,
1489 'undefined': undefined,
1490 'mode_is_64bit': True,
1491 'SO': XER_bits['SO'],
1492 'XLEN': 64, # elwidth overrides
1493 })
1494
1495 # for LR/SC
1496 if real_page_size is None:
1497 # PowerISA v3.1B Book III Section 6.7 page 1191 (1217)
1498 # defines real page size as 2 ** 12 bytes (4KiB)
1499 real_page_size = 2 ** 12
1500 self.real_page_size = real_page_size
1501 self.reserve_addr = SelectableInt(0, self.XLEN)
1502 self.reserve = SelectableInt(0, 1)
1503 self.reserve_length = SelectableInt(0, 4)
1504
1505 self.namespace.update({'RESERVE': self.RESERVE,
1506 'RESERVE_ADDR': self.RESERVE_ADDR,
1507 'RESERVE_LENGTH': self.RESERVE_LENGTH,
1508 'REAL_PAGE_SIZE': self.REAL_PAGE_SIZE,
1509 })
1510
1511 for name in BFP_FLAG_NAMES:
1512 setattr(self, name, 0)
1513
1514 # update pc to requested start point
1515 self.set_pc(initial_pc)
1516
1517 # field-selectable versions of Condition Register
1518 self.crl = self.cr_fields.crl
1519 for i in range(8):
1520 self.namespace["CR%d" % i] = self.crl[i]
1521
1522 self.decoder = decoder2.dec
1523 self.dec2 = decoder2
1524
1525 super().__init__(XLEN=self.namespace["XLEN"], FPSCR=self.fpscr)
1526
1527 def trace(self, out):
1528 if self.insnlog is None:
1529 return
1530 self.insnlog.write(out)
1531
1532 @property
1533 def XLEN(self):
1534 return self.namespace["XLEN"]
1535
1536 @property
1537 def RESERVE(self):
1538 return self.reserve
1539
1540 @property
1541 def RESERVE_LENGTH(self):
1542 return self.reserve_length
1543
1544 @property
1545 def RESERVE_ADDR(self):
1546 return self.reserve_addr
1547
1548 @property
1549 def REAL_PAGE_SIZE(self):
1550 return self.real_page_size
1551
1552 def real_addr(self, EA):
1553 """ get the "real address to which `EA` maps"
1554
1555 Specified in PowerISA v3.1B Book II Section 1.7.2.1 page 1049 (1075)
1556 """
1557 # FIXME: translate EA to a physical address
1558 return EA
1559
1560 @property
1561 def FPSCR(self):
1562 return self.fpscr
1563
1564 def call_trap(self, trap_addr, trap_bit):
1565 """calls TRAP and sets up NIA to the new execution location.
1566 next instruction will begin at trap_addr.
1567 """
1568 self.TRAP(trap_addr, trap_bit)
1569 self.namespace['NIA'] = self.trap_nia
1570 self.pc.update(self.namespace, self.is_svp64_mode)
1571
1572 def TRAP(self, trap_addr=0x700, trap_bit=PIb.TRAP):
1573 """TRAP> saves PC, MSR (and TODO SVSTATE), and updates MSR
1574
1575 TRAP function is callable from inside the pseudocode itself,
1576 hence the default arguments. when calling from inside ISACaller
1577 it is best to use call_trap()
1578
1579 trap_addr: int | SelectableInt
1580 the address to go to (before any modifications from `KAIVB`)
1581 trap_bit: int | None
1582 the bit in `SRR1` to set, `None` means don't set any bits.
1583 """
1584 if isinstance(trap_addr, SelectableInt):
1585 trap_addr = trap_addr.value
1586 # https://bugs.libre-soc.org/show_bug.cgi?id=859
1587 kaivb = self.spr['KAIVB'].value
1588 msr = self.namespace['MSR'].value
1589 log("TRAP:", hex(trap_addr), hex(msr), "kaivb", hex(kaivb))
1590 # store CIA(+4?) in SRR0, set NIA to 0x700
1591 # store MSR in SRR1, set MSR to um errr something, have to check spec
1592 # store SVSTATE (if enabled) in SVSRR0
1593 self.spr['SRR0'].value = self.pc.CIA.value
1594 self.spr['SRR1'].value = msr
1595 if self.is_svp64_mode:
1596 self.spr['SVSRR0'] = self.namespace['SVSTATE'].value
1597 self.trap_nia = SelectableInt(trap_addr | (kaivb & ~0x1fff), 64)
1598 if trap_bit is not None:
1599 self.spr['SRR1'][trap_bit] = 1 # change *copy* of MSR in SRR1
1600
1601 # set exception bits. TODO: this should, based on the address
1602 # in figure 66 p1065 V3.0B and the table figure 65 p1063 set these
1603 # bits appropriately. however it turns out that *for now* in all
1604 # cases (all trap_addrs) the exact same thing is needed.
1605 self.msr[MSRb.IR] = 0
1606 self.msr[MSRb.DR] = 0
1607 self.msr[MSRb.FE0] = 0
1608 self.msr[MSRb.FE1] = 0
1609 self.msr[MSRb.EE] = 0
1610 self.msr[MSRb.RI] = 0
1611 self.msr[MSRb.SF] = 1
1612 self.msr[MSRb.TM] = 0
1613 self.msr[MSRb.VEC] = 0
1614 self.msr[MSRb.VSX] = 0
1615 self.msr[MSRb.PR] = 0
1616 self.msr[MSRb.FP] = 0
1617 self.msr[MSRb.PMM] = 0
1618 self.msr[MSRb.TEs] = 0
1619 self.msr[MSRb.TEe] = 0
1620 self.msr[MSRb.UND] = 0
1621 self.msr[MSRb.LE] = 1
1622
1623 def memassign(self, ea, sz, val):
1624 self.mem.memassign(ea, sz, val)
1625
1626 def prep_namespace(self, insn_name, formname, op_fields, xlen):
1627 # TODO: get field names from form in decoder*1* (not decoder2)
1628 # decoder2 is hand-created, and decoder1.sigform is auto-generated
1629 # from spec
1630 # then "yield" fields only from op_fields rather than hard-coded
1631 # list, here.
1632 fields = self.decoder.sigforms[formname]
1633 log("prep_namespace", formname, op_fields, insn_name)
1634 for name in op_fields:
1635 # CR immediates. deal with separately. needs modifying
1636 # pseudocode
1637 if self.is_svp64_mode and name in ['BI']: # TODO, more CRs
1638 # BI is a 5-bit, must reconstruct the value
1639 regnum, is_vec = yield from get_cr_in(self.dec2, name)
1640 sig = getattr(fields, name)
1641 val = yield sig
1642 # low 2 LSBs (CR field selector) remain same, CR num extended
1643 assert regnum <= 7, "sigh, TODO, 128 CR fields"
1644 val = (val & 0b11) | (regnum << 2)
1645 elif self.is_svp64_mode and name in ['BF']: # TODO, more CRs
1646 regnum, is_vec = yield from get_cr_out(self.dec2, "BF")
1647 log('hack %s' % name, regnum, is_vec)
1648 val = regnum
1649 else:
1650 sig = getattr(fields, name)
1651 val = yield sig
1652 # these are all opcode fields involved in index-selection of CR,
1653 # and need to do "standard" arithmetic. CR[BA+32] for example
1654 # would, if using SelectableInt, only be 5-bit.
1655 if name in ['BF', 'BFA', 'BC', 'BA', 'BB', 'BT', 'BI']:
1656 self.namespace[name] = val
1657 else:
1658 self.namespace[name] = SelectableInt(val, sig.width)
1659
1660 self.namespace['XER'] = self.spr['XER']
1661 self.namespace['CA'] = self.spr['XER'][XER_bits['CA']].value
1662 self.namespace['CA32'] = self.spr['XER'][XER_bits['CA32']].value
1663 self.namespace['OV'] = self.spr['XER'][XER_bits['OV']].value
1664 self.namespace['OV32'] = self.spr['XER'][XER_bits['OV32']].value
1665 self.namespace['XLEN'] = xlen
1666 self.namespace['RESERVE'] = self.reserve
1667 self.namespace['RESERVE_ADDR'] = self.reserve_addr
1668 self.namespace['RESERVE_LENGTH'] = self.reserve_length
1669
1670 # add some SVSTATE convenience variables
1671 vl = self.svstate.vl
1672 srcstep = self.svstate.srcstep
1673 self.namespace['VL'] = vl
1674 self.namespace['srcstep'] = srcstep
1675
1676 # take a copy of the CR field value: if non-VLi fail-first fails
1677 # this is because the pseudocode writes *directly* to CR. sigh
1678 self.cr_backup = self.cr.value
1679
1680 # sv.bc* need some extra fields
1681 if not self.is_svp64_mode or not insn_name.startswith("sv.bc"):
1682 return
1683
1684 # blegh grab bits manually
1685 mode = yield self.dec2.rm_dec.rm_in.mode
1686 # convert to SelectableInt before test
1687 mode = SelectableInt(mode, 5)
1688 bc_vlset = mode[SVP64MODEb.BC_VLSET] != 0
1689 bc_vli = mode[SVP64MODEb.BC_VLI] != 0
1690 bc_snz = mode[SVP64MODEb.BC_SNZ] != 0
1691 bc_vsb = yield self.dec2.rm_dec.bc_vsb
1692 bc_ctrtest = yield self.dec2.rm_dec.bc_ctrtest
1693 bc_lru = yield self.dec2.rm_dec.bc_lru
1694 bc_gate = yield self.dec2.rm_dec.bc_gate
1695 sz = yield self.dec2.rm_dec.pred_sz
1696 self.namespace['mode'] = SelectableInt(mode, 5)
1697 self.namespace['ALL'] = SelectableInt(bc_gate, 1)
1698 self.namespace['VSb'] = SelectableInt(bc_vsb, 1)
1699 self.namespace['LRu'] = SelectableInt(bc_lru, 1)
1700 self.namespace['CTRtest'] = SelectableInt(bc_ctrtest, 1)
1701 self.namespace['VLSET'] = SelectableInt(bc_vlset, 1)
1702 self.namespace['VLI'] = SelectableInt(bc_vli, 1)
1703 self.namespace['sz'] = SelectableInt(sz, 1)
1704 self.namespace['SNZ'] = SelectableInt(bc_snz, 1)
1705
1706 def get_kludged_op_add_ca_ov(self, inputs, inp_ca_ov):
1707 """ this was not at all necessary to do. this function massively
1708 duplicates - in a laborious and complex fashion - the contents of
1709 the CSV files that were extracted two years ago from microwatt's
1710 source code. A-inversion is the "inv A" column, output inversion
1711 is the "inv out" column, carry-in equal to 0 or 1 or CA is the
1712 "cry in" column
1713
1714 all of that information is available in
1715 self.instrs[ins_name].op_fields
1716 where info is usually assigned to self.instrs[ins_name]
1717
1718 https://git.libre-soc.org/?p=openpower-isa.git;a=blob;f=openpower/isatables/minor_31.csv;hb=HEAD
1719
1720 the immediate constants are *also* decoded correctly and placed
1721 usually by DecodeIn2Imm into operand2, as part of power_decoder2.py
1722 """
1723 def ca(a, b, ca_in, width):
1724 mask = (1 << width) - 1
1725 y = (a & mask) + (b & mask) + ca_in
1726 return y >> width
1727
1728 asmcode = yield self.dec2.dec.op.asmcode
1729 insn = insns.get(asmcode)
1730 SI = yield self.dec2.dec.SI
1731 SI &= 0xFFFF
1732 CA, OV = inp_ca_ov
1733 inputs = [i.value for i in inputs]
1734 if SI & 0x8000:
1735 SI -= 0x10000
1736 if insn in ("add", "addo", "addc", "addco"):
1737 a = inputs[0]
1738 b = inputs[1]
1739 ca_in = 0
1740 elif insn == "addic" or insn == "addic.":
1741 a = inputs[0]
1742 b = SI
1743 ca_in = 0
1744 elif insn in ("subf", "subfo", "subfc", "subfco"):
1745 a = ~inputs[0]
1746 b = inputs[1]
1747 ca_in = 1
1748 elif insn == "subfic":
1749 a = ~inputs[0]
1750 b = SI
1751 ca_in = 1
1752 elif insn == "adde" or insn == "addeo":
1753 a = inputs[0]
1754 b = inputs[1]
1755 ca_in = CA
1756 elif insn == "subfe" or insn == "subfeo":
1757 a = ~inputs[0]
1758 b = inputs[1]
1759 ca_in = CA
1760 elif insn == "addme" or insn == "addmeo":
1761 a = inputs[0]
1762 b = ~0
1763 ca_in = CA
1764 elif insn == "addze" or insn == "addzeo":
1765 a = inputs[0]
1766 b = 0
1767 ca_in = CA
1768 elif insn == "subfme" or insn == "subfmeo":
1769 a = ~inputs[0]
1770 b = ~0
1771 ca_in = CA
1772 elif insn == "subfze" or insn == "subfzeo":
1773 a = ~inputs[0]
1774 b = 0
1775 ca_in = CA
1776 elif insn == "addex":
1777 # CA[32] aren't actually written, just generate so we have
1778 # something to return
1779 ca64 = ov64 = ca(inputs[0], inputs[1], OV, 64)
1780 ca32 = ov32 = ca(inputs[0], inputs[1], OV, 32)
1781 return ca64, ca32, ov64, ov32
1782 elif insn == "neg" or insn == "nego":
1783 a = ~inputs[0]
1784 b = 0
1785 ca_in = 1
1786 else:
1787 raise NotImplementedError(
1788 "op_add kludge unimplemented instruction: ", asmcode, insn)
1789
1790 ca64 = ca(a, b, ca_in, 64)
1791 ca32 = ca(a, b, ca_in, 32)
1792 ov64 = ca64 != ca(a, b, ca_in, 63)
1793 ov32 = ca32 != ca(a, b, ca_in, 31)
1794 return ca64, ca32, ov64, ov32
1795
1796 def handle_carry_(self, inputs, output, ca, ca32, inp_ca_ov):
1797 if ca is not None and ca32 is not None:
1798 return
1799 op = yield self.dec2.e.do.insn_type
1800 if op == MicrOp.OP_ADD.value and ca is None and ca32 is None:
1801 retval = yield from self.get_kludged_op_add_ca_ov(
1802 inputs, inp_ca_ov)
1803 ca, ca32, ov, ov32 = retval
1804 asmcode = yield self.dec2.dec.op.asmcode
1805 if insns.get(asmcode) == 'addex':
1806 # TODO: if 32-bit mode, set ov to ov32
1807 self.spr['XER'][XER_bits['OV']] = ov
1808 self.spr['XER'][XER_bits['OV32']] = ov32
1809 log(f"write OV/OV32 OV={ov} OV32={ov32}",
1810 kind=LogType.InstrInOuts)
1811 else:
1812 # TODO: if 32-bit mode, set ca to ca32
1813 self.spr['XER'][XER_bits['CA']] = ca
1814 self.spr['XER'][XER_bits['CA32']] = ca32
1815 log(f"write CA/CA32 CA={ca} CA32={ca32}",
1816 kind=LogType.InstrInOuts)
1817 return
1818 inv_a = yield self.dec2.e.do.invert_in
1819 if inv_a:
1820 inputs[0] = ~inputs[0]
1821
1822 imm_ok = yield self.dec2.e.do.imm_data.ok
1823 if imm_ok:
1824 imm = yield self.dec2.e.do.imm_data.data
1825 inputs.append(SelectableInt(imm, 64))
1826 gts = []
1827 for x in inputs:
1828 log("gt input", x, output)
1829 gt = (gtu(x, output))
1830 gts.append(gt)
1831 log(gts)
1832 cy = 1 if any(gts) else 0
1833 log("CA", cy, gts)
1834 if ca is None: # already written
1835 self.spr['XER'][XER_bits['CA']] = cy
1836
1837 # 32 bit carry
1838 # ARGH... different for OP_ADD... *sigh*...
1839 op = yield self.dec2.e.do.insn_type
1840 if op == MicrOp.OP_ADD.value:
1841 res32 = (output.value & (1 << 32)) != 0
1842 a32 = (inputs[0].value & (1 << 32)) != 0
1843 if len(inputs) >= 2:
1844 b32 = (inputs[1].value & (1 << 32)) != 0
1845 else:
1846 b32 = False
1847 cy32 = res32 ^ a32 ^ b32
1848 log("CA32 ADD", cy32)
1849 else:
1850 gts = []
1851 for x in inputs:
1852 log("input", x, output)
1853 log(" x[32:64]", x, x[32:64])
1854 log(" o[32:64]", output, output[32:64])
1855 gt = (gtu(x[32:64], output[32:64])) == SelectableInt(1, 1)
1856 gts.append(gt)
1857 cy32 = 1 if any(gts) else 0
1858 log("CA32", cy32, gts)
1859 if ca32 is None: # already written
1860 self.spr['XER'][XER_bits['CA32']] = cy32
1861
1862 def handle_overflow(self, inputs, output, div_overflow, inp_ca_ov):
1863 op = yield self.dec2.e.do.insn_type
1864 if op == MicrOp.OP_ADD.value:
1865 retval = yield from self.get_kludged_op_add_ca_ov(
1866 inputs, inp_ca_ov)
1867 ca, ca32, ov, ov32 = retval
1868 # TODO: if 32-bit mode, set ov to ov32
1869 self.spr['XER'][XER_bits['OV']] = ov
1870 self.spr['XER'][XER_bits['OV32']] = ov32
1871 self.spr['XER'][XER_bits['SO']] |= ov
1872 return
1873 if hasattr(self.dec2.e.do, "invert_in"):
1874 inv_a = yield self.dec2.e.do.invert_in
1875 if inv_a:
1876 inputs[0] = ~inputs[0]
1877
1878 imm_ok = yield self.dec2.e.do.imm_data.ok
1879 if imm_ok:
1880 imm = yield self.dec2.e.do.imm_data.data
1881 inputs.append(SelectableInt(imm, 64))
1882 log("handle_overflow", inputs, output, div_overflow)
1883 if len(inputs) < 2 and div_overflow is None:
1884 return
1885
1886 # div overflow is different: it's returned by the pseudo-code
1887 # because it's more complex than can be done by analysing the output
1888 if div_overflow is not None:
1889 ov, ov32 = div_overflow, div_overflow
1890 # arithmetic overflow can be done by analysing the input and output
1891 elif len(inputs) >= 2:
1892 # OV (64-bit)
1893 input_sgn = [exts(x.value, x.bits) < 0 for x in inputs]
1894 output_sgn = exts(output.value, output.bits) < 0
1895 ov = 1 if input_sgn[0] == input_sgn[1] and \
1896 output_sgn != input_sgn[0] else 0
1897
1898 # OV (32-bit)
1899 input32_sgn = [exts(x.value, 32) < 0 for x in inputs]
1900 output32_sgn = exts(output.value, 32) < 0
1901 ov32 = 1 if input32_sgn[0] == input32_sgn[1] and \
1902 output32_sgn != input32_sgn[0] else 0
1903
1904 # now update XER OV/OV32/SO
1905 so = self.spr['XER'][XER_bits['SO']]
1906 new_so = so | ov # sticky overflow ORs in old with new
1907 self.spr['XER'][XER_bits['OV']] = ov
1908 self.spr['XER'][XER_bits['OV32']] = ov32
1909 self.spr['XER'][XER_bits['SO']] = new_so
1910 log(" set overflow", ov, ov32, so, new_so)
1911
1912 def handle_comparison(self, out, cr_idx=0, overflow=None, no_so=False):
1913 assert isinstance(out, SelectableInt), \
1914 "out zero not a SelectableInt %s" % repr(outputs)
1915 log("handle_comparison", out.bits, hex(out.value))
1916 # TODO - XXX *processor* in 32-bit mode
1917 # https://bugs.libre-soc.org/show_bug.cgi?id=424
1918 # if is_32bit:
1919 # o32 = exts(out.value, 32)
1920 # print ("handle_comparison exts 32 bit", hex(o32))
1921 out = exts(out.value, out.bits)
1922 log("handle_comparison exts", hex(out))
1923 # create the three main CR flags, EQ GT LT
1924 zero = SelectableInt(out == 0, 1)
1925 positive = SelectableInt(out > 0, 1)
1926 negative = SelectableInt(out < 0, 1)
1927 # get (or not) XER.SO. for setvl this is important *not* to read SO
1928 if no_so:
1929 SO = SelectableInt(1, 0)
1930 else:
1931 SO = self.spr['XER'][XER_bits['SO']]
1932 log("handle_comparison SO", SO.value,
1933 "overflow", overflow,
1934 "zero", zero.value,
1935 "+ve", positive.value,
1936 "-ve", negative.value)
1937 # alternative overflow checking (setvl mainly at the moment)
1938 if overflow is not None and overflow == 1:
1939 SO = SelectableInt(1, 1)
1940 # create the four CR field values and set the required CR field
1941 cr_field = selectconcat(negative, positive, zero, SO)
1942 log("handle_comparison cr_field", self.cr, cr_idx, cr_field)
1943 self.crl[cr_idx].eq(cr_field)
1944 return cr_field
1945
1946 def set_pc(self, pc_val):
1947 self.namespace['NIA'] = SelectableInt(pc_val, 64)
1948 self.pc.update(self.namespace, self.is_svp64_mode)
1949
1950 def get_next_insn(self):
1951 """check instruction
1952 """
1953 if self.respect_pc:
1954 pc = self.pc.CIA.value
1955 else:
1956 pc = self.fake_pc
1957 ins = self.imem.ld(pc, 4, False, True, instr_fetch=True)
1958 if ins is None:
1959 raise KeyError("no instruction at 0x%x" % pc)
1960 return pc, ins
1961
1962 def setup_one(self):
1963 """set up one instruction
1964 """
1965 pc, insn = self.get_next_insn()
1966 yield from self.setup_next_insn(pc, insn)
1967
1968 # cache since it's really slow to construct
1969 __PREFIX_CACHE = SVP64Instruction.Prefix(SelectableInt(value=0, bits=32))
1970
1971 def __decode_prefix(self, opcode):
1972 pfx = self.__PREFIX_CACHE
1973 pfx.storage.eq(opcode)
1974 return pfx
1975
1976 def setup_next_insn(self, pc, ins):
1977 """set up next instruction
1978 """
1979 self._pc = pc
1980 log("setup: 0x%x 0x%x %s" % (pc, ins & 0xffffffff, bin(ins)))
1981 log("CIA NIA", self.respect_pc, self.pc.CIA.value, self.pc.NIA.value)
1982
1983 yield self.dec2.sv_rm.eq(0)
1984 yield self.dec2.dec.raw_opcode_in.eq(ins & 0xffffffff)
1985 yield self.dec2.dec.bigendian.eq(self.bigendian)
1986 yield self.dec2.state.msr.eq(self.msr.value)
1987 yield self.dec2.state.pc.eq(pc)
1988 if self.svstate is not None:
1989 yield self.dec2.state.svstate.eq(self.svstate.value)
1990
1991 # SVP64. first, check if the opcode is EXT001, and SVP64 id bits set
1992 yield Settle()
1993 opcode = yield self.dec2.dec.opcode_in
1994 opcode = SelectableInt(value=opcode, bits=32)
1995 pfx = self.__decode_prefix(opcode)
1996 log("prefix test: opcode:", pfx.PO, bin(pfx.PO), pfx.id)
1997 self.is_svp64_mode = bool((pfx.PO == 0b000001) and (pfx.id == 0b11))
1998 self.pc.update_nia(self.is_svp64_mode)
1999 # set SVP64 decode
2000 yield self.dec2.is_svp64_mode.eq(self.is_svp64_mode)
2001 self.namespace['NIA'] = self.pc.NIA
2002 self.namespace['SVSTATE'] = self.svstate
2003 if not self.is_svp64_mode:
2004 return
2005
2006 # in SVP64 mode. decode/print out svp64 prefix, get v3.0B instruction
2007 log("svp64.rm", bin(pfx.rm))
2008 log(" svstate.vl", self.svstate.vl)
2009 log(" svstate.mvl", self.svstate.maxvl)
2010 ins = self.imem.ld(pc+4, 4, False, True, instr_fetch=True)
2011 log(" svsetup: 0x%x 0x%x %s" % (pc+4, ins & 0xffffffff, bin(ins)))
2012 yield self.dec2.dec.raw_opcode_in.eq(ins & 0xffffffff) # v3.0B suffix
2013 yield self.dec2.sv_rm.eq(int(pfx.rm)) # svp64 prefix
2014 yield Settle()
2015
2016 def execute_one(self):
2017 """execute one instruction
2018 """
2019 # get the disassembly code for this instruction
2020 if not self.disassembly:
2021 code = yield from self.get_assembly_name()
2022 else:
2023 offs, dbg = 0, ""
2024 if self.is_svp64_mode:
2025 offs, dbg = 4, "svp64 "
2026 code = self.disassembly[self._pc+offs]
2027 log(" %s sim-execute" % dbg, hex(self._pc), code)
2028 opname = code.split(' ')[0]
2029 try:
2030 yield from self.call(opname) # execute the instruction
2031 except MemException as e: # check for memory errors
2032 if e.args[0] == 'unaligned': # alignment error
2033 # run a Trap but set DAR first
2034 print("memory unaligned exception, DAR", e.dar, repr(e))
2035 self.spr['DAR'] = SelectableInt(e.dar, 64)
2036 self.call_trap(0x600, PIb.PRIV) # 0x600, privileged
2037 return
2038 elif e.args[0] == 'invalid': # invalid
2039 # run a Trap but set DAR first
2040 log("RADIX MMU memory invalid error, mode %s" % e.mode)
2041 if e.mode == 'EXECUTE':
2042 # XXX TODO: must set a few bits in SRR1,
2043 # see microwatt loadstore1.vhdl
2044 # if m_in.segerr = '0' then
2045 # v.srr1(47 - 33) := m_in.invalid;
2046 # v.srr1(47 - 35) := m_in.perm_error; -- noexec fault
2047 # v.srr1(47 - 44) := m_in.badtree;
2048 # v.srr1(47 - 45) := m_in.rc_error;
2049 # v.intr_vec := 16#400#;
2050 # else
2051 # v.intr_vec := 16#480#;
2052 self.call_trap(0x400, PIb.PRIV) # 0x400, privileged
2053 else:
2054 self.call_trap(0x300, PIb.PRIV) # 0x300, privileged
2055 return
2056 # not supported yet:
2057 raise e # ... re-raise
2058
2059 # append to the trace log file
2060 self.trace(" # %s\n" % code)
2061
2062 log("gprs after code", code)
2063 self.gpr.dump()
2064 crs = []
2065 for i in range(len(self.crl)):
2066 crs.append(bin(self.crl[i].asint()))
2067 log("crs", " ".join(crs))
2068 log("vl,maxvl", self.svstate.vl, self.svstate.maxvl)
2069
2070 # don't use this except in special circumstances
2071 if not self.respect_pc:
2072 self.fake_pc += 4
2073
2074 log("execute one, CIA NIA", hex(self.pc.CIA.value),
2075 hex(self.pc.NIA.value))
2076
2077 def get_assembly_name(self):
2078 # TODO, asmregs is from the spec, e.g. add RT,RA,RB
2079 # see http://bugs.libre-riscv.org/show_bug.cgi?id=282
2080 dec_insn = yield self.dec2.e.do.insn
2081 insn_1_11 = yield self.dec2.e.do.insn[1:11]
2082 asmcode = yield self.dec2.dec.op.asmcode
2083 int_op = yield self.dec2.dec.op.internal_op
2084 log("get assembly name asmcode", asmcode, int_op,
2085 hex(dec_insn), bin(insn_1_11))
2086 asmop = insns.get(asmcode, None)
2087
2088 # sigh reconstruct the assembly instruction name
2089 if hasattr(self.dec2.e.do, "oe"):
2090 ov_en = yield self.dec2.e.do.oe.oe
2091 ov_ok = yield self.dec2.e.do.oe.ok
2092 else:
2093 ov_en = False
2094 ov_ok = False
2095 if hasattr(self.dec2.e.do, "rc"):
2096 rc_en = yield self.dec2.e.do.rc.rc
2097 rc_ok = yield self.dec2.e.do.rc.ok
2098 else:
2099 rc_en = False
2100 rc_ok = False
2101 # annoying: ignore rc_ok if RC1 is set (for creating *assembly name*)
2102 RC1 = yield self.dec2.rm_dec.RC1
2103 if RC1:
2104 rc_en = False
2105 rc_ok = False
2106 # grrrr have to special-case MUL op (see DecodeOE)
2107 log("ov %d en %d rc %d en %d op %d" %
2108 (ov_ok, ov_en, rc_ok, rc_en, int_op))
2109 if int_op in [MicrOp.OP_MUL_H64.value, MicrOp.OP_MUL_H32.value]:
2110 log("mul op")
2111 if rc_en & rc_ok:
2112 asmop += "."
2113 else:
2114 if not asmop.endswith("."): # don't add "." to "andis."
2115 if rc_en & rc_ok:
2116 asmop += "."
2117 if hasattr(self.dec2.e.do, "lk"):
2118 lk = yield self.dec2.e.do.lk
2119 if lk:
2120 asmop += "l"
2121 log("int_op", int_op)
2122 if int_op in [MicrOp.OP_B.value, MicrOp.OP_BC.value]:
2123 AA = yield self.dec2.dec.fields.FormI.AA[0:-1]
2124 log("AA", AA)
2125 if AA:
2126 asmop += "a"
2127 spr_msb = yield from self.get_spr_msb()
2128 if int_op == MicrOp.OP_MFCR.value:
2129 if spr_msb:
2130 asmop = 'mfocrf'
2131 else:
2132 asmop = 'mfcr'
2133 # XXX TODO: for whatever weird reason this doesn't work
2134 # https://bugs.libre-soc.org/show_bug.cgi?id=390
2135 if int_op == MicrOp.OP_MTCRF.value:
2136 if spr_msb:
2137 asmop = 'mtocrf'
2138 else:
2139 asmop = 'mtcrf'
2140 return asmop
2141
2142 def reset_remaps(self):
2143 self.remap_loopends = [0] * 4
2144 self.remap_idxs = [0, 1, 2, 3]
2145
2146 def get_remap_indices(self):
2147 """WARNING, this function stores remap_idxs and remap_loopends
2148 in the class for later use. this to avoid problems with yield
2149 """
2150 # go through all iterators in lock-step, advance to next remap_idx
2151 srcstep, dststep, ssubstep, dsubstep = self.get_src_dststeps()
2152 # get four SVSHAPEs. here we are hard-coding
2153 self.reset_remaps()
2154 SVSHAPE0 = self.spr['SVSHAPE0']
2155 SVSHAPE1 = self.spr['SVSHAPE1']
2156 SVSHAPE2 = self.spr['SVSHAPE2']
2157 SVSHAPE3 = self.spr['SVSHAPE3']
2158 # set up the iterators
2159 remaps = [(SVSHAPE0, SVSHAPE0.get_iterator()),
2160 (SVSHAPE1, SVSHAPE1.get_iterator()),
2161 (SVSHAPE2, SVSHAPE2.get_iterator()),
2162 (SVSHAPE3, SVSHAPE3.get_iterator()),
2163 ]
2164
2165 dbg = []
2166 for i, (shape, remap) in enumerate(remaps):
2167 # zero is "disabled"
2168 if shape.value == 0x0:
2169 self.remap_idxs[i] = 0
2170 # pick src or dststep depending on reg num (0-2=in, 3-4=out)
2171 step = dststep if (i in [3, 4]) else srcstep
2172 # this is terrible. O(N^2) looking for the match. but hey.
2173 for idx, (remap_idx, loopends) in enumerate(remap):
2174 if idx == step:
2175 break
2176 self.remap_idxs[i] = remap_idx
2177 self.remap_loopends[i] = loopends
2178 dbg.append((i, step, remap_idx, loopends))
2179 for (i, step, remap_idx, loopends) in dbg:
2180 log("SVSHAPE %d idx, end" % i, step, remap_idx, bin(loopends))
2181 return remaps
2182
2183 def get_spr_msb(self):
2184 dec_insn = yield self.dec2.e.do.insn
2185 return dec_insn & (1 << 20) != 0 # sigh - XFF.spr[-1]?
2186
2187 def call(self, name, syscall_emu_active=False):
2188 """call(opcode) - the primary execution point for instructions
2189 """
2190 self.last_st_addr = None # reset the last known store address
2191 self.last_ld_addr = None # etc.
2192
2193 ins_name = name.strip() # remove spaces if not already done so
2194 if self.halted:
2195 log("halted - not executing", ins_name)
2196 return
2197
2198 # TODO, asmregs is from the spec, e.g. add RT,RA,RB
2199 # see http://bugs.libre-riscv.org/show_bug.cgi?id=282
2200 asmop = yield from self.get_assembly_name()
2201 log("call", ins_name, asmop,
2202 kind=LogType.InstrInOuts)
2203
2204 # sv.setvl is *not* a loop-function. sigh
2205 log("is_svp64_mode", self.is_svp64_mode, asmop)
2206
2207 # check privileged
2208 int_op = yield self.dec2.dec.op.internal_op
2209 spr_msb = yield from self.get_spr_msb()
2210
2211 instr_is_privileged = False
2212 if int_op in [MicrOp.OP_ATTN.value,
2213 MicrOp.OP_MFMSR.value,
2214 MicrOp.OP_MTMSR.value,
2215 MicrOp.OP_MTMSRD.value,
2216 # TODO: OP_TLBIE
2217 MicrOp.OP_RFID.value]:
2218 instr_is_privileged = True
2219 if int_op in [MicrOp.OP_MFSPR.value,
2220 MicrOp.OP_MTSPR.value] and spr_msb:
2221 instr_is_privileged = True
2222
2223 # check MSR priv bit and whether op is privileged: if so, throw trap
2224 PR = self.msr[MSRb.PR]
2225 log("is priv", instr_is_privileged, hex(self.msr.value), PR)
2226 if instr_is_privileged and PR == 1:
2227 self.call_trap(0x700, PIb.PRIV)
2228 return
2229
2230 # check halted condition
2231 if ins_name == 'attn':
2232 self.halted = True
2233 return
2234
2235 # User mode system call emulation consists of several steps:
2236 # 1. Detect whether instruction is sc or scv.
2237 # 2. Call the HDL implementation which invokes trap.
2238 # 3. Reroute the guest system call to host system call.
2239 # 4. Force return from the interrupt as if we had guest OS.
2240 if ((asmop in ("sc", "scv")) and
2241 (self.syscall is not None) and
2242 not syscall_emu_active):
2243 # Memoize PC and trigger an interrupt
2244 if self.respect_pc:
2245 pc = self.pc.CIA.value
2246 else:
2247 pc = self.fake_pc
2248 yield from self.call(asmop, syscall_emu_active=True)
2249
2250 # Reroute the syscall to host OS
2251 identifier = self.gpr(0)
2252 arguments = map(self.gpr, range(3, 9))
2253 result = self.syscall(identifier, *arguments)
2254 self.gpr.write(3, result, False, self.namespace["XLEN"])
2255
2256 # Return from interrupt
2257 yield from self.call("rfid", syscall_emu_active=True)
2258 return
2259 elif ((name in ("rfid", "hrfid")) and syscall_emu_active):
2260 asmop = "rfid"
2261
2262 # check illegal instruction
2263 illegal = False
2264 if ins_name not in ['mtcrf', 'mtocrf']:
2265 illegal = ins_name != asmop
2266
2267 # list of instructions not being supported by binutils (.long)
2268 dotstrp = asmop[:-1] if asmop[-1] == '.' else asmop
2269 if dotstrp in [*FPTRANS_INSNS,
2270 *LDST_UPDATE_INSNS,
2271 'ffmadds', 'fdmadds', 'ffadds',
2272 'minmax',
2273 "brh", "brw", "brd",
2274 'setvl', 'svindex', 'svremap', 'svstep',
2275 'svshape', 'svshape2',
2276 'ternlogi', 'bmask', 'cprop', 'gbbd',
2277 'absdu', 'absds', 'absdacs', 'absdacu', 'avgadd',
2278 'fmvis', 'fishmv', 'pcdec', "maddedu", "divmod2du",
2279 "dsld", "dsrd", "maddedus",
2280 "sadd", "saddw", "sadduw",
2281 "cffpr", "cffpro",
2282 "mffpr", "mffprs",
2283 "ctfpr", "ctfprs",
2284 "mtfpr", "mtfprs",
2285 "maddsubrs", "maddrs", "msubrs",
2286 "cfuged", "cntlzdm", "cnttzdm", "pdepd", "pextd",
2287 "setbc", "setbcr", "setnbc", "setnbcr",
2288 ]:
2289 illegal = False
2290 ins_name = dotstrp
2291
2292 # match against instructions treated as nop, see nop below
2293 if asmop.startswith("dcbt"):
2294 illegal = False
2295 ins_name = "nop"
2296
2297 # branch-conditional redirects to sv.bc
2298 if asmop.startswith('bc') and self.is_svp64_mode:
2299 ins_name = 'sv.%s' % ins_name
2300
2301 # ld-immediate-with-pi mode redirects to ld-with-postinc
2302 ldst_imm_postinc = False
2303 if 'u' in ins_name and self.is_svp64_mode:
2304 ldst_pi = yield self.dec2.rm_dec.ldst_postinc
2305 if ldst_pi:
2306 ins_name = ins_name.replace("u", "up")
2307 ldst_imm_postinc = True
2308 log(" enable ld/st postinc", ins_name)
2309
2310 log(" post-processed name", dotstrp, ins_name, asmop)
2311
2312 # illegal instructions call TRAP at 0x700
2313 if illegal:
2314 print("illegal", ins_name, asmop)
2315 self.call_trap(0x700, PIb.ILLEG)
2316 print("name %s != %s - calling ILLEGAL trap, PC: %x" %
2317 (ins_name, asmop, self.pc.CIA.value))
2318 return
2319
2320 # this is for setvl "Vertical" mode: if set true,
2321 # srcstep/dststep is explicitly advanced. mode says which SVSTATE to
2322 # test for Rc=1 end condition. 3 bits of all 3 loops are put into CR0
2323 self.allow_next_step_inc = False
2324 self.svstate_next_mode = 0
2325
2326 # nop has to be supported, we could let the actual op calculate
2327 # but PowerDecoder has a pattern for nop
2328 if ins_name == 'nop':
2329 self.update_pc_next()
2330 return
2331
2332 # get elwidths, defaults to 64
2333 xlen = 64
2334 ew_src = 64
2335 ew_dst = 64
2336 if self.is_svp64_mode:
2337 ew_src = yield self.dec2.rm_dec.ew_src
2338 ew_dst = yield self.dec2.rm_dec.ew_dst
2339 ew_src = 8 << (3-int(ew_src)) # convert to bitlength
2340 ew_dst = 8 << (3-int(ew_dst)) # convert to bitlength
2341 xlen = max(ew_src, ew_dst)
2342 log("elwidth", ew_src, ew_dst)
2343 log("XLEN:", self.is_svp64_mode, xlen)
2344
2345 # look up instruction in ISA.instrs, prepare namespace
2346 if ins_name == 'pcdec': # grrrr yes there are others ("stbcx." etc.)
2347 info = self.instrs[ins_name+"."]
2348 elif asmop[-1] == '.' and asmop in self.instrs:
2349 info = self.instrs[asmop]
2350 else:
2351 info = self.instrs[ins_name]
2352 yield from self.prep_namespace(ins_name, info.form, info.op_fields,
2353 xlen)
2354
2355 # dict retains order
2356 inputs = dict.fromkeys(create_full_args(
2357 read_regs=info.read_regs, special_regs=info.special_regs,
2358 uninit_regs=info.uninit_regs, write_regs=info.write_regs))
2359
2360 # preserve order of register names
2361 write_without_special_regs = OrderedSet(info.write_regs)
2362 write_without_special_regs -= OrderedSet(info.special_regs)
2363 input_names = create_args([
2364 *info.read_regs, *info.uninit_regs, *write_without_special_regs])
2365 log("input names", input_names)
2366
2367 # get SVP64 entry for the current instruction
2368 sv_rm = self.svp64rm.instrs.get(ins_name)
2369 if sv_rm is not None:
2370 dest_cr, src_cr, src_byname, dest_byname = decode_extra(sv_rm)
2371 else:
2372 dest_cr, src_cr, src_byname, dest_byname = False, False, {}, {}
2373 log("sv rm", sv_rm, dest_cr, src_cr, src_byname, dest_byname)
2374
2375 # see if srcstep/dststep need skipping over masked-out predicate bits
2376 # svstep also needs advancement because it calls SVSTATE_NEXT.
2377 # bit the remaps get computed just after pre_inc moves them on
2378 # with remap_set_steps substituting for PowerDecider2 not doing it,
2379 # and SVSTATE_NEXT not being able to.use yield, the preinc on
2380 # svstep is necessary for now.
2381 self.reset_remaps()
2382 if (self.is_svp64_mode or ins_name in ['svstep']):
2383 yield from self.svstate_pre_inc()
2384 if self.is_svp64_mode:
2385 pre = yield from self.update_new_svstate_steps()
2386 if pre:
2387 self.svp64_reset_loop()
2388 self.update_nia()
2389 self.update_pc_next()
2390 return
2391 srcstep, dststep, ssubstep, dsubstep = self.get_src_dststeps()
2392 pred_dst_zero = self.pred_dst_zero
2393 pred_src_zero = self.pred_src_zero
2394 vl = self.svstate.vl
2395 subvl = yield self.dec2.rm_dec.rm_in.subvl
2396
2397 # VL=0 in SVP64 mode means "do nothing: skip instruction"
2398 if self.is_svp64_mode and vl == 0:
2399 self.pc.update(self.namespace, self.is_svp64_mode)
2400 log("SVP64: VL=0, end of call", self.namespace['CIA'],
2401 self.namespace['NIA'], kind=LogType.InstrInOuts)
2402 return
2403
2404 # for when SVREMAP is active, using pre-arranged schedule.
2405 # note: modifying PowerDecoder2 needs to "settle"
2406 remap_en = self.svstate.SVme
2407 persist = self.svstate.RMpst
2408 active = (persist or self.last_op_svshape) and remap_en != 0
2409 if self.is_svp64_mode:
2410 yield self.dec2.remap_active.eq(remap_en if active else 0)
2411 yield Settle()
2412 if persist or self.last_op_svshape:
2413 remaps = self.get_remap_indices()
2414 if self.is_svp64_mode and (persist or self.last_op_svshape):
2415 yield from self.remap_set_steps(remaps)
2416 # after that, settle down (combinatorial) to let Vector reg numbers
2417 # work themselves out
2418 yield Settle()
2419 if self.is_svp64_mode:
2420 remap_active = yield self.dec2.remap_active
2421 else:
2422 remap_active = False
2423 log("remap active", bin(remap_active), self.is_svp64_mode)
2424
2425 # LDST does *not* allow elwidth overrides on RA (Effective Address).
2426 # this has to be detected. XXX TODO: RB for ldst-idx *may* need
2427 # conversion (to 64-bit) also.
2428 # see write reg this *HAS* to also override XLEN to 64 on LDST/Update
2429 sv_mode = yield self.dec2.rm_dec.sv_mode
2430 is_ldst = (sv_mode in [SVMode.LDST_IDX.value, SVMode.LDST_IMM.value] \
2431 and self.is_svp64_mode)
2432 log("is_ldst", sv_mode, is_ldst)
2433
2434 # main input registers (RT, RA ...)
2435 for name in input_names:
2436 if name == "overflow":
2437 inputs[name] = SelectableInt(0, 1)
2438 elif name.startswith("RESERVE"):
2439 inputs[name] = getattr(self, name)
2440 elif name == "FPSCR":
2441 inputs[name] = self.FPSCR
2442 elif name in ("CA", "CA32", "OV", "OV32"):
2443 inputs[name] = self.spr['XER'][XER_bits[name]]
2444 elif name in "CR0":
2445 inputs[name] = self.crl[0]
2446 elif name in spr_byname:
2447 inputs[name] = self.spr[name]
2448 elif is_ldst and name == 'RA':
2449 regval = (yield from self.get_input(name, ew_src, 64))
2450 log("EA (RA) regval name", name, regval)
2451 inputs[name] = regval
2452 else:
2453 regval = (yield from self.get_input(name, ew_src, xlen))
2454 log("regval name", name, regval)
2455 inputs[name] = regval
2456
2457 # arrrrgh, awful hack, to get _RT into namespace
2458 if ins_name in ['setvl', 'svstep']:
2459 regname = "_RT"
2460 RT = yield self.dec2.dec.RT
2461 self.namespace[regname] = SelectableInt(RT, 5)
2462 if RT == 0:
2463 self.namespace["RT"] = SelectableInt(0, 5)
2464 regnum, is_vec = yield from get_idx_out(self.dec2, "RT")
2465 log('hack input reg %s %s' % (name, str(regnum)), is_vec)
2466
2467 # in SVP64 mode for LD/ST work out immediate
2468 # XXX TODO: replace_ds for DS-Form rather than D-Form.
2469 # use info.form to detect
2470 if self.is_svp64_mode and not ldst_imm_postinc:
2471 yield from self.check_replace_d(info, remap_active)
2472
2473 # "special" registers
2474 for special in info.special_regs:
2475 if special in special_sprs:
2476 inputs[special] = self.spr[special]
2477 else:
2478 inputs[special] = self.namespace[special]
2479
2480 # clear trap (trap) NIA
2481 self.trap_nia = None
2482
2483 # check if this was an sv.bc* and create an indicator that
2484 # this is the last check to be made as a loop. combined with
2485 # the ALL/ANY mode we can early-exit. note that BI (to test)
2486 # is an input so there is no termination if BI is scalar
2487 # (because early-termination is for *output* scalars)
2488 if self.is_svp64_mode and ins_name.startswith("sv.bc"):
2489 end_loop = srcstep == vl-1 or dststep == vl-1
2490 self.namespace['end_loop'] = SelectableInt(end_loop, 1)
2491
2492 inp_ca_ov = (self.spr['XER'][XER_bits['CA']].value,
2493 self.spr['XER'][XER_bits['OV']].value)
2494
2495 for k, v in inputs.items():
2496 if v is None:
2497 v = SelectableInt(0, self.XLEN)
2498 # prevent pseudo-code from modifying input registers
2499 v = copy_assign_rhs(v)
2500 if isinstance(v, SelectableInt):
2501 v.ok = False
2502 inputs[k] = v
2503
2504 # execute actual instruction here (finally)
2505 log("inputs", inputs)
2506 inputs = list(inputs.values())
2507 results = info.func(self, *inputs)
2508 output_names = create_args(info.write_regs)
2509 outs = {}
2510 # record .ok before anything after the pseudo-code can modify it
2511 outs_ok = {}
2512 for out, n in zip(results or [], output_names):
2513 outs[n] = out
2514 outs_ok[n] = True
2515 if isinstance(out, SelectableInt):
2516 outs_ok[n] = out.ok
2517 log("results", outs)
2518 log("results ok", outs_ok)
2519
2520 # "inject" decorator takes namespace from function locals: we need to
2521 # overwrite NIA being overwritten (sigh)
2522 if self.trap_nia is not None:
2523 self.namespace['NIA'] = self.trap_nia
2524
2525 log("after func", self.namespace['CIA'], self.namespace['NIA'])
2526
2527 # check if op was a LD/ST so that debugging can check the
2528 # address
2529 if int_op in [MicrOp.OP_STORE.value,
2530 ]:
2531 self.last_st_addr = self.mem.last_st_addr
2532 if int_op in [MicrOp.OP_LOAD.value,
2533 ]:
2534 self.last_ld_addr = self.mem.last_ld_addr
2535 log("op", int_op, MicrOp.OP_STORE.value, MicrOp.OP_LOAD.value,
2536 self.last_st_addr, self.last_ld_addr)
2537
2538 # detect if CA/CA32 already in outputs (sra*, basically)
2539 ca = outs.get("CA")
2540 ca32 = outs.get("CA32")
2541
2542 log("carry already done?", ca, ca32, output_names)
2543 # soc test_pipe_caller tests don't have output_carry
2544 has_output_carry = hasattr(self.dec2.e.do, "output_carry")
2545 carry_en = has_output_carry and (yield self.dec2.e.do.output_carry)
2546 if carry_en:
2547 yield from self.handle_carry_(
2548 inputs, results[0], ca, ca32, inp_ca_ov=inp_ca_ov)
2549
2550 # get output named "overflow" and "CR0"
2551 overflow = outs.get('overflow')
2552 cr0 = outs.get('CR0')
2553 cr1 = outs.get('CR1')
2554
2555 # soc test_pipe_caller tests don't have oe
2556 has_oe = hasattr(self.dec2.e.do, "oe")
2557 # yeah just no. not in parallel processing
2558 if has_oe and not self.is_svp64_mode:
2559 # detect if overflow was in return result
2560 ov_en = yield self.dec2.e.do.oe.oe
2561 ov_ok = yield self.dec2.e.do.oe.ok
2562 log("internal overflow", ins_name, overflow, "en?", ov_en, ov_ok)
2563 if ov_en & ov_ok:
2564 yield from self.handle_overflow(
2565 inputs, results[0], overflow, inp_ca_ov=inp_ca_ov)
2566
2567 # only do SVP64 dest predicated Rc=1 if dest-pred is not enabled
2568 rc_en = False
2569 if not self.is_svp64_mode or not pred_dst_zero:
2570 if hasattr(self.dec2.e.do, "rc"):
2571 rc_en = yield self.dec2.e.do.rc.rc
2572 # don't do Rc=1 for svstep it is handled explicitly.
2573 # XXX TODO: now that CR0 is supported, sort out svstep's pseudocode
2574 # to write directly to CR0 instead of in ISACaller. hooyahh.
2575 if rc_en and ins_name not in ['svstep']:
2576 if outs_ok.get('FPSCR', False):
2577 FPSCR = outs['FPSCR']
2578 else:
2579 FPSCR = self.FPSCR
2580 yield from self.do_rc_ov(
2581 ins_name, results[0], overflow, cr0, cr1, FPSCR)
2582
2583 # check failfirst
2584 ffirst_hit = False, False
2585 if self.is_svp64_mode:
2586 sv_mode = yield self.dec2.rm_dec.sv_mode
2587 is_cr = sv_mode == SVMode.CROP.value
2588 chk = rc_en or is_cr
2589 if outs_ok.get('CR', False):
2590 # early write so check_ffirst can see value
2591 self.namespace['CR'].eq(outs['CR'])
2592 ffirst_hit = (yield from self.check_ffirst(info, chk, srcstep))
2593
2594 # any modified return results?
2595 yield from self.do_outregs(
2596 info, outs, carry_en, ffirst_hit, ew_dst, outs_ok)
2597
2598 # check if a FP Exception occurred. TODO for DD-FFirst, check VLi
2599 # and raise the exception *after* if VLi=1 but if VLi=0 then
2600 # truncate and make the exception "disappear".
2601 if self.FPSCR.FEX and (self.msr[MSRb.FE0] or self.msr[MSRb.FE1]):
2602 self.call_trap(0x700, PIb.FP)
2603 return
2604
2605 yield from self.do_nia(asmop, ins_name, rc_en, ffirst_hit)
2606
2607 def check_ffirst(self, info, rc_en, srcstep):
2608 """fail-first mode: checks a bit of Rc Vector, truncates VL
2609 """
2610 rm_mode = yield self.dec2.rm_dec.mode
2611 ff_inv = yield self.dec2.rm_dec.inv
2612 cr_bit = yield self.dec2.rm_dec.cr_sel
2613 RC1 = yield self.dec2.rm_dec.RC1
2614 vli_ = yield self.dec2.rm_dec.vli # VL inclusive if truncated
2615 log(" ff rm_mode", rc_en, rm_mode, SVP64RMMode.FFIRST.value)
2616 log(" inv", ff_inv)
2617 log(" RC1", RC1)
2618 log(" vli", vli_)
2619 log(" cr_bit", cr_bit)
2620 log(" rc_en", rc_en)
2621 ffirst = yield from is_ffirst_mode(self.dec2)
2622 if not rc_en or not ffirst:
2623 return False, False
2624 # get the CR vevtor, do BO-test
2625 crf = "CR0"
2626 log("asmregs", info.asmregs[0], info.write_regs)
2627 if 'CR' in info.write_regs and 'BF' in info.asmregs[0]:
2628 crf = 'BF'
2629 regnum, is_vec = yield from get_cr_out(self.dec2, crf)
2630 crtest = self.crl[regnum]
2631 ffirst_hit = crtest[cr_bit] != ff_inv
2632 log("cr test", crf, regnum, int(crtest), crtest, cr_bit, ff_inv)
2633 log("cr test?", ffirst_hit)
2634 if not ffirst_hit:
2635 return False, False
2636 # Fail-first activated, truncate VL
2637 vli = SelectableInt(int(vli_), 7)
2638 self.svstate.vl = srcstep + vli
2639 yield self.dec2.state.svstate.eq(self.svstate.value)
2640 yield Settle() # let decoder update
2641 return True, vli_
2642
2643 def do_rc_ov(self, ins_name, result, overflow, cr0, cr1, FPSCR):
2644 cr_out = yield self.dec2.op.cr_out
2645 if cr_out == CROutSel.CR1.value:
2646 rc_reg = "CR1"
2647 else:
2648 rc_reg = "CR0"
2649 regnum, is_vec = yield from get_cr_out(self.dec2, rc_reg)
2650 # hang on... for `setvl` actually you want to test SVSTATE.VL
2651 is_setvl = ins_name in ('svstep', 'setvl')
2652 if is_setvl:
2653 result = SelectableInt(result.vl, 64)
2654 # else:
2655 # overflow = None # do not override overflow except in setvl
2656
2657 if rc_reg == "CR1":
2658 if cr1 is None:
2659 cr1 = int(FPSCR.FX) << 3
2660 cr1 |= int(FPSCR.FEX) << 2
2661 cr1 |= int(FPSCR.VX) << 1
2662 cr1 |= int(FPSCR.OX)
2663 log("default fp cr1", cr1)
2664 else:
2665 log("explicit cr1", cr1)
2666 self.crl[regnum].eq(cr1)
2667 elif cr0 is None:
2668 # if there was not an explicit CR0 in the pseudocode,
2669 # do implicit Rc=1
2670 c = self.handle_comparison(result, regnum, overflow, no_so=is_setvl)
2671 log("implicit cr0", c)
2672 else:
2673 # otherwise we just blat CR0 into the required regnum
2674 log("explicit cr0", cr0)
2675 self.crl[regnum].eq(cr0)
2676
2677 def do_outregs(self, info, outs, ca_en, ffirst_hit, ew_dst, outs_ok):
2678 ffirst_hit, vli = ffirst_hit
2679 # write out any regs for this instruction, but only if fail-first is ok
2680 # XXX TODO: allow CR-vector to be written out even if ffirst fails
2681 if not ffirst_hit or vli:
2682 for name, output in outs.items():
2683 if not outs_ok[name]:
2684 log("skipping writing output with .ok=False", name, output)
2685 continue
2686 yield from self.check_write(info, name, output, ca_en, ew_dst)
2687 # restore the CR value on non-VLI failfirst (from sv.cmp and others
2688 # which write directly to CR in the pseudocode (gah, what a mess)
2689 # if ffirst_hit and not vli:
2690 # self.cr.value = self.cr_backup
2691
2692 def do_nia(self, asmop, ins_name, rc_en, ffirst_hit):
2693 ffirst_hit, vli = ffirst_hit
2694 if ffirst_hit:
2695 self.svp64_reset_loop()
2696 nia_update = True
2697 else:
2698 # check advancement of src/dst/sub-steps and if PC needs updating
2699 nia_update = (yield from self.check_step_increment(
2700 rc_en, asmop, ins_name))
2701 if nia_update:
2702 self.update_pc_next()
2703
2704 def check_replace_d(self, info, remap_active):
2705 replace_d = False # update / replace constant in pseudocode
2706 ldstmode = yield self.dec2.rm_dec.ldstmode
2707 vl = self.svstate.vl
2708 subvl = yield self.dec2.rm_dec.rm_in.subvl
2709 srcstep, dststep = self.new_srcstep, self.new_dststep
2710 ssubstep, dsubstep = self.new_ssubstep, self.new_dsubstep
2711 if info.form == 'DS':
2712 # DS-Form, multiply by 4 then knock 2 bits off after
2713 imm = yield self.dec2.dec.fields.FormDS.DS[0:14] * 4
2714 else:
2715 imm = yield self.dec2.dec.fields.FormD.D[0:16]
2716 imm = exts(imm, 16) # sign-extend to integer
2717 # get the right step. LD is from srcstep, ST is dststep
2718 op = yield self.dec2.e.do.insn_type
2719 offsmul = 0
2720 if op == MicrOp.OP_LOAD.value:
2721 if remap_active:
2722 offsmul = yield self.dec2.in1_step
2723 log("D-field REMAP src", imm, offsmul, ldstmode)
2724 else:
2725 offsmul = (srcstep * (subvl+1)) + ssubstep
2726 log("D-field src", imm, offsmul, ldstmode)
2727 elif op == MicrOp.OP_STORE.value:
2728 # XXX NOTE! no bit-reversed STORE! this should not ever be used
2729 offsmul = (dststep * (subvl+1)) + dsubstep
2730 log("D-field dst", imm, offsmul, ldstmode)
2731 # Unit-Strided LD/ST adds offset*width to immediate
2732 if ldstmode == SVP64LDSTmode.UNITSTRIDE.value:
2733 ldst_len = yield self.dec2.e.do.data_len
2734 imm = SelectableInt(imm + offsmul * ldst_len, 32)
2735 replace_d = True
2736 # Element-strided multiplies the immediate by element step
2737 elif ldstmode == SVP64LDSTmode.ELSTRIDE.value:
2738 imm = SelectableInt(imm * offsmul, 32)
2739 replace_d = True
2740 if replace_d:
2741 ldst_ra_vec = yield self.dec2.rm_dec.ldst_ra_vec
2742 ldst_imz_in = yield self.dec2.rm_dec.ldst_imz_in
2743 log("LDSTmode", SVP64LDSTmode(ldstmode),
2744 offsmul, imm, ldst_ra_vec, ldst_imz_in)
2745 # new replacement D... errr.. DS
2746 if replace_d:
2747 if info.form == 'DS':
2748 # TODO: assert 2 LSBs are zero?
2749 log("DS-Form, TODO, assert 2 LSBs zero?", bin(imm.value))
2750 imm.value = imm.value >> 2
2751 self.namespace['DS'] = imm
2752 else:
2753 self.namespace['D'] = imm
2754
2755 def get_input(self, name, ew_src, xlen):
2756 # using PowerDecoder2, first, find the decoder index.
2757 # (mapping name RA RB RC RS to in1, in2, in3)
2758 regnum, is_vec = yield from get_idx_in(self.dec2, name, True)
2759 if regnum is None:
2760 # doing this is not part of svp64, it's because output
2761 # registers, to be modified, need to be in the namespace.
2762 regnum, is_vec = yield from get_idx_out(self.dec2, name, True)
2763 if regnum is None:
2764 regnum, is_vec = yield from get_idx_out2(self.dec2, name, True)
2765
2766 if isinstance(regnum, tuple):
2767 (regnum, base, offs) = regnum
2768 else:
2769 base, offs = regnum, 0 # temporary HACK
2770
2771 # in case getting the register number is needed, _RA, _RB
2772 # (HACK: only in straight non-svp64-mode for now, or elwidth == 64)
2773 regname = "_" + name
2774 if not self.is_svp64_mode or ew_src == 64:
2775 self.namespace[regname] = regnum
2776 else:
2777 # FIXME: we're trying to access a sub-register, plain register
2778 # numbers don't work for that. for now, just pass something that
2779 # can be compared to 0 and probably will cause an error if misused.
2780 # see https://bugs.libre-soc.org/show_bug.cgi?id=1221
2781 self.namespace[regname] = regnum * 10000
2782
2783 if not self.is_svp64_mode or not self.pred_src_zero:
2784 log('reading reg %s %s' % (name, str(regnum)), is_vec)
2785 if name in fregs:
2786 fval = self.fpr(base, is_vec, offs, ew_src)
2787 reg_val = SelectableInt(fval)
2788 assert ew_src == self.XLEN, "TODO fix elwidth conversion"
2789 self.trace("r:FPR:%d:%d:%d " % (base, offs, ew_src))
2790 log("read fp reg %d/%d: 0x%x" % (base, offs, reg_val.value),
2791 kind=LogType.InstrInOuts)
2792 elif name is not None:
2793 gval = self.gpr(base, is_vec, offs, ew_src)
2794 reg_val = SelectableInt(gval.value, bits=xlen)
2795 self.trace("r:GPR:%d:%d:%d " % (base, offs, ew_src))
2796 log("read int reg %d/%d: 0x%x" % (base, offs, reg_val.value),
2797 kind=LogType.InstrInOuts)
2798 else:
2799 log('zero input reg %s %s' % (name, str(regnum)), is_vec)
2800 reg_val = SelectableInt(0, ew_src)
2801 return reg_val
2802
2803 def remap_set_steps(self, remaps):
2804 """remap_set_steps sets up the in1/2/3 and out1/2 steps.
2805 they work in concert with PowerDecoder2 at the moment,
2806 there is no HDL implementation of REMAP. therefore this
2807 function, because ISACaller still uses PowerDecoder2,
2808 will *explicitly* write the dec2.XX_step values. this has
2809 to get sorted out.
2810 """
2811 # just some convenient debug info
2812 for i in range(4):
2813 sname = 'SVSHAPE%d' % i
2814 shape = self.spr[sname]
2815 log(sname, bin(shape.value))
2816 log(" lims", shape.lims)
2817 log(" mode", shape.mode)
2818 log(" skip", shape.skip)
2819
2820 # set up the list of steps to remap
2821 mi0 = self.svstate.mi0
2822 mi1 = self.svstate.mi1
2823 mi2 = self.svstate.mi2
2824 mo0 = self.svstate.mo0
2825 mo1 = self.svstate.mo1
2826 steps = [[self.dec2.in1_step, mi0], # RA
2827 [self.dec2.in2_step, mi1], # RB
2828 [self.dec2.in3_step, mi2], # RC
2829 [self.dec2.o_step, mo0], # RT
2830 [self.dec2.o2_step, mo1], # EA
2831 ]
2832 if False: # TODO
2833 rnames = ['RA', 'RB', 'RC', 'RT', 'RS']
2834 for i, reg in enumerate(rnames):
2835 idx = yield from get_idx_map(self.dec2, reg)
2836 if idx is None:
2837 idx = yield from get_idx_map(self.dec2, "F"+reg)
2838 if idx == 1: # RA
2839 steps[i][0] = self.dec2.in1_step
2840 elif idx == 2: # RB
2841 steps[i][0] = self.dec2.in2_step
2842 elif idx == 3: # RC
2843 steps[i][0] = self.dec2.in3_step
2844 log("remap step", i, reg, idx, steps[i][1])
2845 remap_idxs = self.remap_idxs
2846 rremaps = []
2847 # now cross-index the required SHAPE for each of 3-in 2-out regs
2848 rnames = ['RA', 'RB', 'RC', 'RT', 'EA']
2849 for i, (dstep, shape_idx) in enumerate(steps):
2850 (shape, remap) = remaps[shape_idx]
2851 remap_idx = remap_idxs[shape_idx]
2852 # zero is "disabled"
2853 if shape.value == 0x0:
2854 continue
2855 # now set the actual requested step to the current index
2856 if dstep is not None:
2857 yield dstep.eq(remap_idx)
2858
2859 # debug printout info
2860 rremaps.append((shape.mode, hex(shape.value), dstep,
2861 i, rnames[i], shape_idx, remap_idx))
2862 for x in rremaps:
2863 log("shape remap", x)
2864
2865 def check_write(self, info, name, output, carry_en, ew_dst):
2866 if name == 'overflow': # ignore, done already (above)
2867 return
2868 if name == 'CR0': # ignore, done already (above)
2869 return
2870 if isinstance(output, int):
2871 output = SelectableInt(output, EFFECTIVELY_UNLIMITED)
2872 # write FPSCR
2873 if name.startswith("RESERVE"):
2874 log("write %s 0x%x" % (name, output.value))
2875 getattr(self, name).eq(output)
2876 return
2877 if name in ['FPSCR', ]:
2878 log("write FPSCR 0x%x" % (output.value))
2879 self.FPSCR.eq(output)
2880 return
2881 # write carry flags
2882 if name in ['CA', 'CA32']:
2883 if carry_en:
2884 log("writing %s to XER" % name, output)
2885 log("write XER %s 0x%x" % (name, output.value))
2886 self.spr['XER'][XER_bits[name]] = output.value
2887 else:
2888 log("NOT writing %s to XER" % name, output)
2889 return
2890 # write special SPRs
2891 if name in info.special_regs:
2892 log('writing special %s' % name, output, special_sprs)
2893 log("write reg %s 0x%x" % (name, output.value),
2894 kind=LogType.InstrInOuts)
2895 if name in special_sprs:
2896 self.spr[name] = output
2897 else:
2898 self.namespace[name].eq(output)
2899 if name == 'MSR':
2900 log('msr written', hex(self.msr.value))
2901 return
2902 # find out1/out2 PR/FPR
2903 regnum, is_vec = yield from get_idx_out(self.dec2, name, True)
2904 if regnum is None:
2905 regnum, is_vec = yield from get_idx_out2(self.dec2, name, True)
2906 if regnum is None:
2907 # temporary hack for not having 2nd output
2908 regnum = yield getattr(self.decoder, name)
2909 is_vec = False
2910 # convenient debug prefix
2911 if name in fregs:
2912 reg_prefix = 'f'
2913 else:
2914 reg_prefix = 'r'
2915 # check zeroing due to predicate bit being zero
2916 if self.is_svp64_mode and self.pred_dst_zero:
2917 log('zeroing reg %s %s' % (str(regnum), str(output)), is_vec)
2918 output = SelectableInt(0, EFFECTIVELY_UNLIMITED)
2919 log("write reg %s%s 0x%x ew %d" % (reg_prefix, str(regnum),
2920 output.value, ew_dst),
2921 kind=LogType.InstrInOuts)
2922 # zero-extend tov64 bit begore storing (should use EXT oh well)
2923 if output.bits > 64:
2924 output = SelectableInt(output.value, 64)
2925 rnum, base, offset = regnum
2926 if name in fregs:
2927 self.fpr.write(regnum, output, is_vec, ew_dst)
2928 self.trace("w:FPR:%d:%d:%d " % (rnum, offset, ew_dst))
2929 return
2930
2931 # LDST/Update does *not* allow elwidths on RA (Effective Address).
2932 # this has to be detected, and overridden. see get_input (related)
2933 sv_mode = yield self.dec2.rm_dec.sv_mode
2934 is_ldst = (sv_mode in [SVMode.LDST_IDX.value, SVMode.LDST_IMM.value] \
2935 and self.is_svp64_mode)
2936 if is_ldst and name in ['EA', 'RA']:
2937 op = self.dec2.dec.op
2938 if hasattr(op, "upd"):
2939 # update mode LD/ST uses read-reg A also as an output
2940 upd = yield op.upd
2941 log("write is_ldst is_update", sv_mode, is_ldst, upd)
2942 if upd == LDSTMode.update.value:
2943 ew_dst = 64 # override for RA (EA) to 64-bit
2944
2945 self.gpr.write(regnum, output, is_vec, ew_dst)
2946 self.trace("w:GPR:%d:%d:%d " % (rnum, offset, ew_dst))
2947
2948 def check_step_increment(self, rc_en, asmop, ins_name):
2949 # check if it is the SVSTATE.src/dest step that needs incrementing
2950 # this is our Sub-Program-Counter loop from 0 to VL-1
2951 if not self.allow_next_step_inc:
2952 if self.is_svp64_mode:
2953 return (yield from self.svstate_post_inc(ins_name))
2954
2955 # XXX only in non-SVP64 mode!
2956 # record state of whether the current operation was an svshape,
2957 # OR svindex!
2958 # to be able to know if it should apply in the next instruction.
2959 # also (if going to use this instruction) should disable ability
2960 # to interrupt in between. sigh.
2961 self.last_op_svshape = asmop in ['svremap', 'svindex',
2962 'svshape2']
2963 return True
2964
2965 pre = False
2966 post = False
2967 nia_update = True
2968 log("SVSTATE_NEXT: inc requested, mode",
2969 self.svstate_next_mode, self.allow_next_step_inc)
2970 yield from self.svstate_pre_inc()
2971 pre = yield from self.update_new_svstate_steps()
2972 if pre:
2973 # reset at end of loop including exit Vertical Mode
2974 log("SVSTATE_NEXT: end of loop, reset")
2975 self.svp64_reset_loop()
2976 self.svstate.vfirst = 0
2977 self.update_nia()
2978 if not rc_en:
2979 return True
2980 self.handle_comparison(SelectableInt(0, 64)) # CR0
2981 return True
2982 if self.allow_next_step_inc == 2:
2983 log("SVSTATE_NEXT: read")
2984 nia_update = (yield from self.svstate_post_inc(ins_name))
2985 else:
2986 log("SVSTATE_NEXT: post-inc")
2987 # use actual (cached) src/dst-step here to check end
2988 remaps = self.get_remap_indices()
2989 remap_idxs = self.remap_idxs
2990 vl = self.svstate.vl
2991 subvl = yield self.dec2.rm_dec.rm_in.subvl
2992 if self.allow_next_step_inc != 2:
2993 yield from self.advance_svstate_steps()
2994 #self.namespace['SVSTATE'] = self.svstate.spr
2995 # set CR0 (if Rc=1) based on end
2996 endtest = 1 if self.at_loopend() else 0
2997 if rc_en:
2998 #results = [SelectableInt(endtest, 64)]
2999 # self.handle_comparison(results) # CR0
3000
3001 # see if svstep was requested, if so, which SVSTATE
3002 endings = 0b111
3003 if self.svstate_next_mode > 0:
3004 shape_idx = self.svstate_next_mode.value-1
3005 endings = self.remap_loopends[shape_idx]
3006 cr_field = SelectableInt((~endings) << 1 | endtest, 4)
3007 log("svstep Rc=1, CR0", cr_field, endtest)
3008 self.crl[0].eq(cr_field) # CR0
3009 if endtest:
3010 # reset at end of loop including exit Vertical Mode
3011 log("SVSTATE_NEXT: after increments, reset")
3012 self.svp64_reset_loop()
3013 self.svstate.vfirst = 0
3014 return nia_update
3015
3016 def SVSTATE_NEXT(self, mode, submode):
3017 """explicitly moves srcstep/dststep on to next element, for
3018 "Vertical-First" mode. this function is called from
3019 setvl pseudo-code, as a pseudo-op "svstep"
3020
3021 WARNING: this function uses information that was created EARLIER
3022 due to it being in the middle of a yield, but this function is
3023 *NOT* called from yield (it's called from compiled pseudocode).
3024 """
3025 self.allow_next_step_inc = submode.value + 1
3026 log("SVSTATE_NEXT mode", mode, submode, self.allow_next_step_inc)
3027 self.svstate_next_mode = mode
3028 if self.svstate_next_mode > 0 and self.svstate_next_mode < 5:
3029 shape_idx = self.svstate_next_mode.value-1
3030 return SelectableInt(self.remap_idxs[shape_idx], 7)
3031 if self.svstate_next_mode == 5:
3032 self.svstate_next_mode = 0
3033 return SelectableInt(self.svstate.srcstep, 7)
3034 if self.svstate_next_mode == 6:
3035 self.svstate_next_mode = 0
3036 return SelectableInt(self.svstate.dststep, 7)
3037 if self.svstate_next_mode == 7:
3038 self.svstate_next_mode = 0
3039 return SelectableInt(self.svstate.ssubstep, 7)
3040 if self.svstate_next_mode == 8:
3041 self.svstate_next_mode = 0
3042 return SelectableInt(self.svstate.dsubstep, 7)
3043 return SelectableInt(0, 7)
3044
3045 def get_src_dststeps(self):
3046 """gets srcstep, dststep, and ssubstep, dsubstep
3047 """
3048 return (self.new_srcstep, self.new_dststep,
3049 self.new_ssubstep, self.new_dsubstep)
3050
3051 def update_svstate_namespace(self, overwrite_svstate=True):
3052 if overwrite_svstate:
3053 # note, do not get the bit-reversed srcstep here!
3054 srcstep, dststep = self.new_srcstep, self.new_dststep
3055 ssubstep, dsubstep = self.new_ssubstep, self.new_dsubstep
3056
3057 # update SVSTATE with new srcstep
3058 self.svstate.srcstep = srcstep
3059 self.svstate.dststep = dststep
3060 self.svstate.ssubstep = ssubstep
3061 self.svstate.dsubstep = dsubstep
3062 self.namespace['SVSTATE'] = self.svstate
3063 yield self.dec2.state.svstate.eq(self.svstate.value)
3064 yield Settle() # let decoder update
3065
3066 def update_new_svstate_steps(self, overwrite_svstate=True):
3067 yield from self.update_svstate_namespace(overwrite_svstate)
3068 srcstep = self.svstate.srcstep
3069 dststep = self.svstate.dststep
3070 ssubstep = self.svstate.ssubstep
3071 dsubstep = self.svstate.dsubstep
3072 pack = self.svstate.pack
3073 unpack = self.svstate.unpack
3074 vl = self.svstate.vl
3075 sv_mode = yield self.dec2.rm_dec.sv_mode
3076 subvl = yield self.dec2.rm_dec.rm_in.subvl
3077 rm_mode = yield self.dec2.rm_dec.mode
3078 ff_inv = yield self.dec2.rm_dec.inv
3079 cr_bit = yield self.dec2.rm_dec.cr_sel
3080 log(" srcstep", srcstep)
3081 log(" dststep", dststep)
3082 log(" pack", pack)
3083 log(" unpack", unpack)
3084 log(" ssubstep", ssubstep)
3085 log(" dsubstep", dsubstep)
3086 log(" vl", vl)
3087 log(" subvl", subvl)
3088 log(" rm_mode", rm_mode)
3089 log(" sv_mode", sv_mode)
3090 log(" inv", ff_inv)
3091 log(" cr_bit", cr_bit)
3092
3093 # check if end reached (we let srcstep overrun, above)
3094 # nothing needs doing (TODO zeroing): just do next instruction
3095 if self.loopend:
3096 return True
3097 return ((ssubstep == subvl and srcstep == vl) or
3098 (dsubstep == subvl and dststep == vl))
3099
3100 def svstate_post_inc(self, insn_name, vf=0):
3101 # check if SV "Vertical First" mode is enabled
3102 vfirst = self.svstate.vfirst
3103 log(" SV Vertical First", vf, vfirst)
3104 if not vf and vfirst == 1:
3105 # SV Branch-Conditional required to be as-if-vector
3106 # because there *is* no destination register
3107 # (SV normally only terminates on 1st scalar reg written
3108 # except in [slightly-misnamed] mapreduce mode)
3109 ffirst = yield from is_ffirst_mode(self.dec2)
3110 if insn_name.startswith("sv.bc") or ffirst:
3111 self.update_pc_next()
3112 return False
3113 self.update_nia()
3114 return True
3115
3116 # check if it is the SVSTATE.src/dest step that needs incrementing
3117 # this is our Sub-Program-Counter loop from 0 to VL-1
3118 # XXX twin predication TODO
3119 vl = self.svstate.vl
3120 subvl = yield self.dec2.rm_dec.rm_in.subvl
3121 mvl = self.svstate.maxvl
3122 srcstep = self.svstate.srcstep
3123 dststep = self.svstate.dststep
3124 ssubstep = self.svstate.ssubstep
3125 dsubstep = self.svstate.dsubstep
3126 pack = self.svstate.pack
3127 unpack = self.svstate.unpack
3128 rm_mode = yield self.dec2.rm_dec.mode
3129 reverse_gear = yield self.dec2.rm_dec.reverse_gear
3130 sv_ptype = yield self.dec2.dec.op.SV_Ptype
3131 out_vec = not (yield self.dec2.no_out_vec)
3132 in_vec = not (yield self.dec2.no_in_vec)
3133 rm_mode = yield self.dec2.rm_dec.mode
3134 log(" svstate.vl", vl)
3135 log(" svstate.mvl", mvl)
3136 log(" rm.subvl", subvl)
3137 log(" svstate.srcstep", srcstep)
3138 log(" svstate.dststep", dststep)
3139 log(" svstate.ssubstep", ssubstep)
3140 log(" svstate.dsubstep", dsubstep)
3141 log(" svstate.pack", pack)
3142 log(" svstate.unpack", unpack)
3143 log(" mode", rm_mode)
3144 log(" reverse", reverse_gear)
3145 log(" out_vec", out_vec)
3146 log(" in_vec", in_vec)
3147 log(" sv_ptype", sv_ptype, sv_ptype == SVPType.P2.value)
3148 log(" rm_mode", rm_mode)
3149 # check if this was an sv.bc* and if so did it succeed
3150 if self.is_svp64_mode and insn_name.startswith("sv.bc"):
3151 end_loop = self.namespace['end_loop']
3152 log("branch %s end_loop" % insn_name, end_loop)
3153 if end_loop.value:
3154 self.svp64_reset_loop()
3155 self.update_pc_next()
3156 return False
3157 # check if srcstep needs incrementing by one, stop PC advancing
3158 # but for 2-pred both src/dest have to be checked.
3159 # XXX this might not be true! it may just be LD/ST
3160 if sv_ptype == SVPType.P2.value:
3161 svp64_is_vector = (out_vec or in_vec)
3162 else:
3163 svp64_is_vector = out_vec
3164 # also if data-dependent fail-first is used, only in_vec is tested,
3165 # allowing *scalar destinations* to be used as an accumulator.
3166 # effectively this implies /mr (mapreduce mode) is 100% on with ddffirst
3167 # see https://bugs.libre-soc.org/show_bug.cgi?id=1183#c16
3168 ffirst = yield from is_ffirst_mode(self.dec2)
3169 if ffirst:
3170 svp64_is_vector = in_vec
3171
3172 # loops end at the first "hit" (source or dest)
3173 yield from self.advance_svstate_steps()
3174 loopend = self.loopend
3175 log("loopend", svp64_is_vector, loopend)
3176 if not svp64_is_vector or loopend:
3177 # reset loop to zero and update NIA
3178 self.svp64_reset_loop()
3179 self.update_nia()
3180
3181 return True
3182
3183 # still looping, advance and update NIA
3184 self.namespace['SVSTATE'] = self.svstate
3185
3186 # not an SVP64 branch, so fix PC (NIA==CIA) for next loop
3187 # (by default, NIA is CIA+4 if v3.0B or CIA+8 if SVP64)
3188 # this way we keep repeating the same instruction (with new steps)
3189 self.pc.NIA.eq(self.pc.CIA)
3190 self.namespace['NIA'] = self.pc.NIA
3191 log("end of sub-pc call", self.namespace['CIA'], self.namespace['NIA'])
3192 return False # DO NOT allow PC update whilst Sub-PC loop running
3193
3194 def update_pc_next(self):
3195 # UPDATE program counter
3196 self.pc.update(self.namespace, self.is_svp64_mode)
3197 #self.svstate.spr = self.namespace['SVSTATE']
3198 log("end of call", self.namespace['CIA'],
3199 self.namespace['NIA'],
3200 self.namespace['SVSTATE'])
3201
3202 def svp64_reset_loop(self):
3203 self.svstate.srcstep = 0
3204 self.svstate.dststep = 0
3205 self.svstate.ssubstep = 0
3206 self.svstate.dsubstep = 0
3207 self.loopend = False
3208 log(" svstate.srcstep loop end (PC to update)")
3209 self.namespace['SVSTATE'] = self.svstate
3210
3211 def update_nia(self):
3212 self.pc.update_nia(self.is_svp64_mode)
3213 self.namespace['NIA'] = self.pc.NIA
3214
3215
3216 def inject():
3217 """Decorator factory.
3218
3219 this decorator will "inject" variables into the function's namespace,
3220 from the *dictionary* in self.namespace. it therefore becomes possible
3221 to make it look like a whole stack of variables which would otherwise
3222 need "self." inserted in front of them (*and* for those variables to be
3223 added to the instance) "appear" in the function.
3224
3225 "self.namespace['SI']" for example becomes accessible as just "SI" but
3226 *only* inside the function, when decorated.
3227 """
3228 def variable_injector(func):
3229 @wraps(func)
3230 def decorator(*args, **kwargs):
3231 try:
3232 func_globals = func.__globals__ # Python 2.6+
3233 except AttributeError:
3234 func_globals = func.func_globals # Earlier versions.
3235
3236 context = args[0].namespace # variables to be injected
3237 saved_values = func_globals.copy() # Shallow copy of dict.
3238 log("globals before", context.keys())
3239 func_globals.update(context)
3240 result = func(*args, **kwargs)
3241 log("globals after", func_globals['CIA'], func_globals['NIA'])
3242 log("args[0]", args[0].namespace['CIA'],
3243 args[0].namespace['NIA'],
3244 args[0].namespace['SVSTATE'])
3245 if 'end_loop' in func_globals:
3246 log("args[0] end_loop", func_globals['end_loop'])
3247 args[0].namespace = func_globals
3248 #exec (func.__code__, func_globals)
3249
3250 # finally:
3251 # func_globals = saved_values # Undo changes.
3252
3253 return result
3254
3255 return decorator
3256
3257 return variable_injector