update notes, dest/src1/src2 are BINARY encoded
[ieee754fpu.git] / src / scoreboard / fn_unit.py
1 from nmigen.compat.sim import run_simulation
2 from nmigen.cli import verilog, rtlil
3 from nmigen import Module, Signal, Cat, Elaboratable
4 from nmutil.latch import SRLatch
5 from nmigen.lib.coding import Decoder
6
7 from shadow_fn import ShadowFn
8
9
10 class FnUnit(Elaboratable):
11 """ implements 11.4.8 function unit, p31
12 also implements optional shadowing 11.5.1, p55
13
14 shadowing can be used for branches as well as exceptions (interrupts),
15 load/store hold (exceptions again), and vector-element predication
16 (once the predicate is known, which it may not be at instruction issue)
17
18 notes:
19
20 * dest_i / src1_i / src2_i are in *binary*, whereas
21 * g_rd_pend_i / g_wr_pend_i and rd_pend_o / wr_pend_o are UNARY vectors
22 * req_rel_i (request release) is the direct equivalent of pipeline
23 "output valid" (valid_o)
24 * recover is a local python variable (actually go_die_o)
25 * when shadow_wid = 0, recover and shadown are Consts (i.e. do nothing)
26 """
27 def __init__(self, wid, shadow_wid=0):
28 self.reg_width = wid
29 self.shadow_wid = shadow_wid
30
31 # inputs
32 self.dest_i = Signal(max=wid, reset_less=True) # Dest R# in (top)
33 self.src1_i = Signal(max=wid, reset_less=True) # oper1 R# in (top)
34 self.src2_i = Signal(max=wid, reset_less=True) # oper2 R# in (top)
35 self.issue_i = Signal(reset_less=True) # Issue in (top)
36
37 self.go_write_i = Signal(reset_less=True) # Go Write in (left)
38 self.go_read_i = Signal(reset_less=True) # Go Read in (left)
39 self.req_rel_i = Signal(reset_less=True) # request release (left)
40
41 self.g_rd_pend_i = Signal(wid, reset_less=True) # global rd (right)
42 self.g_wr_pend_i = Signal(wid, reset_less=True) # global wr (right)
43
44 if shadow_wid:
45 self.shadow_i = Signal(shadow_wid, reset_less=True)
46 self.s_fail_i = Signal(shadow_wid, reset_less=True)
47 self.s_good_i = Signal(shadow_wid, reset_less=True)
48 self.go_die_o = Signal(reset_less=True)
49
50 # outputs
51 self.readable_o = Signal(reset_less=True) # Readable out (right)
52 self.writable_o = Signal(reset_less=True) # Writable out (right)
53 self.busy_o = Signal(reset_less=True) # busy out (left)
54
55 self.rd_pend_o = Signal(wid, reset_less=True) # rd pending (right)
56 self.wr_pend_o = Signal(wid, reset_less=True) # wr pending (right)
57
58 def elaborate(self, platform):
59 m = Module()
60 m.submodules.rd_l = rd_l = SRLatch(sync=False)
61 m.submodules.wr_l = wr_l = SRLatch(sync=False)
62 m.submodules.dest_d = dest_d = Decoder(self.reg_width)
63 m.submodules.src1_d = src1_d = Decoder(self.reg_width)
64 m.submodules.src2_d = src2_d = Decoder(self.reg_width)
65 s_latches = []
66 for i in range(self.shadow_wid):
67 sh = ShadowFn()
68 setattr(m.submodules, "shadow%d" % i, sh)
69 s_latches.append(sh)
70
71 # shadow / recover (optional: shadow_wid > 0)
72 if self.shadow_wid:
73 recover = self.go_die_o
74 shadown = Signal(reset_less=True)
75 i_l = []
76 fail_l = []
77 good_l = []
78 shi_l = []
79 sho_l = []
80 rec_l = []
81 # get list of latch signals. really must be a better way to do this
82 for l in s_latches:
83 i_l.append(l.issue_i)
84 shi_l.append(l.shadow_i)
85 fail_l.append(l.s_fail_i)
86 good_l.append(l.s_good_i)
87 sho_l.append(l.shadow_o)
88 rec_l.append(l.recover_o)
89 m.d.comb += Cat(*i_l).eq(self.issue_i)
90 m.d.comb += Cat(*fail_l).eq(self.s_fail_i)
91 m.d.comb += Cat(*good_l).eq(self.s_good_i)
92 m.d.comb += Cat(*shi_l).eq(self.shadow_i)
93 m.d.comb += shadown.eq(~(Cat(*sho_l).bool()))
94 m.d.comb += recover.eq(Cat(*rec_l).bool())
95 else:
96 shadown = Const(1)
97 recover = Const(0)
98
99 # go_write latch: reset on go_write HI, set on issue
100 m.d.comb += wr_l.s.eq(self.issue_i)
101 m.d.comb += wr_l.r.eq(self.go_write_i | recover)
102
103 # src1 latch: reset on go_read HI, set on issue
104 m.d.comb += rd_l.s.eq(self.issue_i)
105 m.d.comb += rd_l.r.eq(self.go_read_i | recover)
106
107 # dest decoder: write-pending out
108 m.d.comb += dest_d.i.eq(self.dest_i)
109 m.d.comb += dest_d.n.eq(wr_l.qn) # decode is inverted
110 m.d.comb += self.busy_o.eq(wr_l.q) # busy if set
111 m.d.comb += self.wr_pend_o.eq(dest_d.o)
112
113 # src1/src2 decoder: read-pending out
114 m.d.comb += src1_d.i.eq(self.src1_i)
115 m.d.comb += src1_d.n.eq(rd_l.qn) # decode is inverted
116 m.d.comb += src2_d.i.eq(self.src2_i)
117 m.d.comb += src2_d.n.eq(rd_l.qn) # decode is inverted
118 m.d.comb += self.rd_pend_o.eq(src1_d.o | src2_d.o)
119
120 # readable output signal
121 int_g_wr = Signal(self.reg_width, reset_less=True)
122 m.d.comb += int_g_wr.eq(self.g_wr_pend_i & self.rd_pend_o)
123 m.d.comb += self.readable_o.eq(int_g_wr.bool())
124
125 # writable output signal
126 int_g_rw = Signal(self.reg_width, reset_less=True)
127 g_rw = Signal(reset_less=True)
128 m.d.comb += int_g_rw.eq(self.g_rd_pend_i & self.wr_pend_o)
129 m.d.comb += g_rw.eq(~int_g_rw.bool())
130 m.d.comb += self.writable_o.eq(g_rw & rd_l.q & self.req_rel_i & shadown)
131
132 return m
133
134 def __iter__(self):
135 yield self.dest_i
136 yield self.src1_i
137 yield self.src2_i
138 yield self.issue_i
139 yield self.go_write_i
140 yield self.go_read_i
141 yield self.req_rel_i
142 yield self.g_rd_pend_i
143 yield self.g_wr_pend_i
144 yield self.readable_o
145 yield self.writable_o
146 yield self.rd_pend_o
147 yield self.wr_pend_o
148
149 def ports(self):
150 return list(self)
151
152
153 def int_fn_unit_sim(dut):
154 yield dut.dest_i.eq(1)
155 yield dut.issue_i.eq(1)
156 yield
157 yield dut.issue_i.eq(0)
158 yield
159 yield dut.src1_i.eq(1)
160 yield dut.issue_i.eq(1)
161 yield
162 yield
163 yield
164 yield dut.issue_i.eq(0)
165 yield
166 yield dut.go_read_i.eq(1)
167 yield
168 yield dut.go_read_i.eq(0)
169 yield
170 yield dut.go_write_i.eq(1)
171 yield
172 yield dut.go_write_i.eq(0)
173 yield
174
175 def test_int_fn_unit():
176 dut = FnUnit(32, 2)
177 vl = rtlil.convert(dut, ports=dut.ports())
178 with open("test_int_fn_unit.il", "w") as f:
179 f.write(vl)
180
181 run_simulation(dut, int_fn_unit_sim(dut), vcd_name='test_int_fn_unit.vcd')
182
183 if __name__ == '__main__':
184 test_int_fn_unit()