uniquify names in dcache.py
[soc.git] / src / soc / experiment / dcache.py
1 """DCache
2
3 based on Anton Blanchard microwatt dcache.vhdl
4
5 note that the microwatt dcache wishbone interface expects "stall".
6 for simplicity at the moment this is hard-coded to cyc & ~ack.
7 see WB4 spec, p84, section 5.2.1
8
9 IMPORTANT: for store, the data is sampled the cycle AFTER the "valid"
10 is raised. sigh
11
12 Links:
13
14 * https://libre-soc.org/3d_gpu/architecture/set_associative_cache.jpg
15 * https://bugs.libre-soc.org/show_bug.cgi?id=469
16 * https://libre-soc.org/irclog-microwatt/%23microwatt.2021-12-07.log.html
17 (discussion about brams for ECP5)
18
19 """
20
21 import sys
22
23 from nmutil.gtkw import write_gtkw
24
25 sys.setrecursionlimit(1000000)
26
27 from enum import Enum, unique
28
29 from nmigen import (Module, Signal, Elaboratable, Cat, Repl, Array, Const,
30 Record, Memory)
31 from nmutil.util import Display
32 from nmigen.lib.coding import Decoder
33
34 from copy import deepcopy
35 from random import randint, seed
36
37 from nmigen_soc.wishbone.bus import Interface
38
39 from nmigen.cli import main
40 from nmutil.iocontrol import RecordObject
41 from nmigen.utils import log2_int
42 from soc.experiment.mem_types import (LoadStore1ToDCacheType,
43 DCacheToLoadStore1Type,
44 MMUToDCacheType,
45 DCacheToMMUType)
46
47 from soc.experiment.wb_types import (WB_ADDR_BITS, WB_DATA_BITS, WB_SEL_BITS,
48 WBAddrType, WBDataType, WBSelType,
49 WBMasterOut, WBSlaveOut,
50 WBMasterOutVector, WBSlaveOutVector,
51 WBIOMasterOut, WBIOSlaveOut)
52
53 from soc.experiment.cache_ram import CacheRam
54 #from soc.experiment.plru import PLRU
55 from nmutil.plru import PLRU, PLRUs
56
57 # for test
58 from soc.bus.sram import SRAM
59 from nmigen import Memory
60 from nmigen.cli import rtlil
61
62 # NOTE: to use cxxsim, export NMIGEN_SIM_MODE=cxxsim from the shell
63 # Also, check out the cxxsim nmigen branch, and latest yosys from git
64 from nmutil.sim_tmp_alternative import Simulator
65
66 from nmutil.util import wrap
67
68
69 # TODO: make these parameters of DCache at some point
70 LINE_SIZE = 64 # Line size in bytes
71 NUM_LINES = 16 # Number of lines in a set
72 NUM_WAYS = 4 # Number of ways
73 TLB_SET_SIZE = 64 # L1 DTLB entries per set
74 TLB_NUM_WAYS = 2 # L1 DTLB number of sets
75 TLB_LG_PGSZ = 12 # L1 DTLB log_2(page_size)
76 LOG_LENGTH = 0 # Non-zero to enable log data collection
77
78 # BRAM organisation: We never access more than
79 # -- WB_DATA_BITS at a time so to save
80 # -- resources we make the array only that wide, and
81 # -- use consecutive indices to make a cache "line"
82 # --
83 # -- ROW_SIZE is the width in bytes of the BRAM
84 # -- (based on WB, so 64-bits)
85 ROW_SIZE = WB_DATA_BITS // 8;
86
87 # ROW_PER_LINE is the number of row (wishbone
88 # transactions) in a line
89 ROW_PER_LINE = LINE_SIZE // ROW_SIZE
90
91 # BRAM_ROWS is the number of rows in BRAM needed
92 # to represent the full dcache
93 BRAM_ROWS = NUM_LINES * ROW_PER_LINE
94
95 print ("ROW_SIZE", ROW_SIZE)
96 print ("ROW_PER_LINE", ROW_PER_LINE)
97 print ("BRAM_ROWS", BRAM_ROWS)
98 print ("NUM_WAYS", NUM_WAYS)
99
100 # Bit fields counts in the address
101
102 # REAL_ADDR_BITS is the number of real address
103 # bits that we store
104 REAL_ADDR_BITS = 56
105
106 # ROW_BITS is the number of bits to select a row
107 ROW_BITS = log2_int(BRAM_ROWS)
108
109 # ROW_LINE_BITS is the number of bits to select
110 # a row within a line
111 ROW_LINE_BITS = log2_int(ROW_PER_LINE)
112
113 # LINE_OFF_BITS is the number of bits for
114 # the offset in a cache line
115 LINE_OFF_BITS = log2_int(LINE_SIZE)
116
117 # ROW_OFF_BITS is the number of bits for
118 # the offset in a row
119 ROW_OFF_BITS = log2_int(ROW_SIZE)
120
121 # INDEX_BITS is the number if bits to
122 # select a cache line
123 INDEX_BITS = log2_int(NUM_LINES)
124
125 # SET_SIZE_BITS is the log base 2 of the set size
126 SET_SIZE_BITS = LINE_OFF_BITS + INDEX_BITS
127
128 # TAG_BITS is the number of bits of
129 # the tag part of the address
130 TAG_BITS = REAL_ADDR_BITS - SET_SIZE_BITS
131
132 # TAG_WIDTH is the width in bits of each way of the tag RAM
133 TAG_WIDTH = TAG_BITS + 7 - ((TAG_BITS + 7) % 8)
134
135 # WAY_BITS is the number of bits to select a way
136 WAY_BITS = log2_int(NUM_WAYS)
137
138 # Example of layout for 32 lines of 64 bytes:
139 layout = f"""\
140 DCache Layout:
141 |.. -----------------------| REAL_ADDR_BITS ({REAL_ADDR_BITS})
142 .. |--------------| SET_SIZE_BITS ({SET_SIZE_BITS})
143 .. tag |index| line |
144 .. | row | |
145 .. | |---| | ROW_LINE_BITS ({ROW_LINE_BITS})
146 .. | |--- - --| LINE_OFF_BITS ({LINE_OFF_BITS})
147 .. | |- --| ROW_OFF_BITS ({ROW_OFF_BITS})
148 .. |----- ---| | ROW_BITS ({ROW_BITS})
149 .. |-----| | INDEX_BITS ({INDEX_BITS})
150 .. --------| | TAG_BITS ({TAG_BITS})
151 """
152 print (layout)
153 print ("Dcache TAG %d IDX %d ROW_BITS %d ROFF %d LOFF %d RLB %d" % \
154 (TAG_BITS, INDEX_BITS, ROW_BITS,
155 ROW_OFF_BITS, LINE_OFF_BITS, ROW_LINE_BITS))
156 print ("index @: %d-%d" % (LINE_OFF_BITS, SET_SIZE_BITS))
157 print ("row @: %d-%d" % (LINE_OFF_BITS, ROW_OFF_BITS))
158 print ("tag @: %d-%d width %d" % (SET_SIZE_BITS, REAL_ADDR_BITS, TAG_WIDTH))
159
160 TAG_RAM_WIDTH = TAG_WIDTH * NUM_WAYS
161
162 print ("TAG_RAM_WIDTH", TAG_RAM_WIDTH)
163 print (" TAG_WIDTH", TAG_WIDTH)
164 print (" NUM_WAYS", NUM_WAYS)
165 print (" NUM_LINES", NUM_LINES)
166
167 def CacheTagArray():
168 tag_layout = [('valid', NUM_WAYS),
169 ('tag', TAG_RAM_WIDTH),
170 ]
171 return Array(Record(tag_layout, name="tag%d" % x) for x in range(NUM_LINES))
172
173 def RowPerLineValidArray():
174 return Array(Signal(name="rows_valid%d" % x) \
175 for x in range(ROW_PER_LINE))
176
177 # L1 TLB
178 TLB_SET_BITS = log2_int(TLB_SET_SIZE)
179 TLB_WAY_BITS = log2_int(TLB_NUM_WAYS)
180 TLB_EA_TAG_BITS = 64 - (TLB_LG_PGSZ + TLB_SET_BITS)
181 TLB_TAG_WAY_BITS = TLB_NUM_WAYS * TLB_EA_TAG_BITS
182 TLB_PTE_BITS = 64
183 TLB_PTE_WAY_BITS = TLB_NUM_WAYS * TLB_PTE_BITS;
184
185 def ispow2(x):
186 return (1<<log2_int(x, False)) == x
187
188 assert (LINE_SIZE % ROW_SIZE) == 0, "LINE_SIZE not multiple of ROW_SIZE"
189 assert ispow2(LINE_SIZE), "LINE_SIZE not power of 2"
190 assert ispow2(NUM_LINES), "NUM_LINES not power of 2"
191 assert ispow2(ROW_PER_LINE), "ROW_PER_LINE not power of 2"
192 assert ROW_BITS == (INDEX_BITS + ROW_LINE_BITS), "geometry bits don't add up"
193 assert (LINE_OFF_BITS == ROW_OFF_BITS + ROW_LINE_BITS), \
194 "geometry bits don't add up"
195 assert REAL_ADDR_BITS == (TAG_BITS + INDEX_BITS + LINE_OFF_BITS), \
196 "geometry bits don't add up"
197 assert REAL_ADDR_BITS == (TAG_BITS + ROW_BITS + ROW_OFF_BITS), \
198 "geometry bits don't add up"
199 assert 64 == WB_DATA_BITS, "Can't yet handle wb width that isn't 64-bits"
200 assert SET_SIZE_BITS <= TLB_LG_PGSZ, "Set indexed by virtual address"
201
202
203 def TLBHit(name):
204 return Record([('valid', 1),
205 ('way', TLB_WAY_BITS)], name=name)
206
207 def TLBTagEAArray():
208 return Array(Signal(TLB_EA_TAG_BITS, name="tlbtagea%d" % x) \
209 for x in range (TLB_NUM_WAYS))
210
211 def TLBRecord(name):
212 tlb_layout = [('valid', TLB_NUM_WAYS),
213 ('tag', TLB_TAG_WAY_BITS),
214 ('pte', TLB_PTE_WAY_BITS)
215 ]
216 return Record(tlb_layout, name=name)
217
218 def TLBValidArray():
219 return Array(Signal(TLB_NUM_WAYS, name="tlb_valid%d" % x)
220 for x in range(TLB_SET_SIZE))
221
222 def HitWaySet():
223 return Array(Signal(WAY_BITS, name="hitway_%d" % x) \
224 for x in range(TLB_NUM_WAYS))
225
226 # Cache RAM interface
227 def CacheRamOut():
228 return Array(Signal(WB_DATA_BITS, name="cache_out%d" % x) \
229 for x in range(NUM_WAYS))
230
231 # PLRU output interface
232 def PLRUOut():
233 return Array(Signal(WAY_BITS, name="plru_out%d" % x) \
234 for x in range(NUM_LINES))
235
236 # TLB PLRU output interface
237 def TLBPLRUOut():
238 return Array(Signal(TLB_WAY_BITS, name="tlbplru_out%d" % x) \
239 for x in range(TLB_SET_SIZE))
240
241 # Helper functions to decode incoming requests
242 #
243 # Return the cache line index (tag index) for an address
244 def get_index(addr):
245 return addr[LINE_OFF_BITS:SET_SIZE_BITS]
246
247 # Return the cache row index (data memory) for an address
248 def get_row(addr):
249 return addr[ROW_OFF_BITS:SET_SIZE_BITS]
250
251 # Return the index of a row within a line
252 def get_row_of_line(row):
253 return row[:ROW_BITS][:ROW_LINE_BITS]
254
255 # Returns whether this is the last row of a line
256 def is_last_row_addr(addr, last):
257 return addr[ROW_OFF_BITS:LINE_OFF_BITS] == last
258
259 # Returns whether this is the last row of a line
260 def is_last_row(row, last):
261 return get_row_of_line(row) == last
262
263 # Return the next row in the current cache line. We use a
264 # dedicated function in order to limit the size of the
265 # generated adder to be only the bits within a cache line
266 # (3 bits with default settings)
267 def next_row(row):
268 row_v = row[0:ROW_LINE_BITS] + 1
269 return Cat(row_v[:ROW_LINE_BITS], row[ROW_LINE_BITS:])
270
271 # Get the tag value from the address
272 def get_tag(addr):
273 return addr[SET_SIZE_BITS:REAL_ADDR_BITS]
274
275 # Read a tag from a tag memory row
276 def read_tag(way, tagset):
277 return tagset.word_select(way, TAG_WIDTH)[:TAG_BITS]
278
279 # Read a TLB tag from a TLB tag memory row
280 def read_tlb_tag(way, tags):
281 return tags.word_select(way, TLB_EA_TAG_BITS)
282
283 # Write a TLB tag to a TLB tag memory row
284 def write_tlb_tag(way, tags, tag):
285 return read_tlb_tag(way, tags).eq(tag)
286
287 # Read a PTE from a TLB PTE memory row
288 def read_tlb_pte(way, ptes):
289 return ptes.word_select(way, TLB_PTE_BITS)
290
291 def write_tlb_pte(way, ptes, newpte):
292 return read_tlb_pte(way, ptes).eq(newpte)
293
294
295 # Record for storing permission, attribute, etc. bits from a PTE
296 class PermAttr(RecordObject):
297 def __init__(self, name=None):
298 super().__init__(name=name)
299 self.reference = Signal()
300 self.changed = Signal()
301 self.nocache = Signal()
302 self.priv = Signal()
303 self.rd_perm = Signal()
304 self.wr_perm = Signal()
305
306
307 def extract_perm_attr(pte):
308 pa = PermAttr()
309 return pa;
310
311
312 # Type of operation on a "valid" input
313 @unique
314 class Op(Enum):
315 OP_NONE = 0
316 OP_BAD = 1 # NC cache hit, TLB miss, prot/RC failure
317 OP_STCX_FAIL = 2 # conditional store w/o reservation
318 OP_LOAD_HIT = 3 # Cache hit on load
319 OP_LOAD_MISS = 4 # Load missing cache
320 OP_LOAD_NC = 5 # Non-cachable load
321 OP_STORE_HIT = 6 # Store hitting cache
322 OP_STORE_MISS = 7 # Store missing cache
323
324
325 # Cache state machine
326 @unique
327 class State(Enum):
328 IDLE = 0 # Normal load hit processing
329 RELOAD_WAIT_ACK = 1 # Cache reload wait ack
330 STORE_WAIT_ACK = 2 # Store wait ack
331 NC_LOAD_WAIT_ACK = 3 # Non-cachable load wait ack
332
333
334 # Dcache operations:
335 #
336 # In order to make timing, we use the BRAMs with
337 # an output buffer, which means that the BRAM
338 # output is delayed by an extra cycle.
339 #
340 # Thus, the dcache has a 2-stage internal pipeline
341 # for cache hits with no stalls.
342 #
343 # All other operations are handled via stalling
344 # in the first stage.
345 #
346 # The second stage can thus complete a hit at the same
347 # time as the first stage emits a stall for a complex op.
348 #
349 # Stage 0 register, basically contains just the latched request
350
351 class RegStage0(RecordObject):
352 def __init__(self, name=None):
353 super().__init__(name=name)
354 self.req = LoadStore1ToDCacheType(name="lsmem")
355 self.tlbie = Signal() # indicates a tlbie request (from MMU)
356 self.doall = Signal() # with tlbie, indicates flush whole TLB
357 self.tlbld = Signal() # indicates a TLB load request (from MMU)
358 self.mmu_req = Signal() # indicates source of request
359 self.d_valid = Signal() # indicates req.data is valid now
360
361
362 class MemAccessRequest(RecordObject):
363 def __init__(self, name=None):
364 super().__init__(name=name)
365 self.op = Signal(Op)
366 self.valid = Signal()
367 self.dcbz = Signal()
368 self.real_addr = Signal(REAL_ADDR_BITS)
369 self.data = Signal(64)
370 self.byte_sel = Signal(8)
371 self.hit_way = Signal(WAY_BITS)
372 self.same_tag = Signal()
373 self.mmu_req = Signal()
374
375
376 # First stage register, contains state for stage 1 of load hits
377 # and for the state machine used by all other operations
378 class RegStage1(RecordObject):
379 def __init__(self, name=None):
380 super().__init__(name=name)
381 # Info about the request
382 self.full = Signal() # have uncompleted request
383 self.mmu_req = Signal() # request is from MMU
384 self.req = MemAccessRequest(name="reqmem")
385
386 # Cache hit state
387 self.hit_way = Signal(WAY_BITS)
388 self.hit_load_valid = Signal()
389 self.hit_index = Signal(INDEX_BITS)
390 self.cache_hit = Signal()
391
392 # TLB hit state
393 self.tlb_hit = TLBHit("tlb_hit")
394 self.tlb_hit_index = Signal(TLB_SET_BITS)
395
396 # 2-stage data buffer for data forwarded from writes to reads
397 self.forward_data1 = Signal(64)
398 self.forward_data2 = Signal(64)
399 self.forward_sel1 = Signal(8)
400 self.forward_valid1 = Signal()
401 self.forward_way1 = Signal(WAY_BITS)
402 self.forward_row1 = Signal(ROW_BITS)
403 self.use_forward1 = Signal()
404 self.forward_sel = Signal(8)
405
406 # Cache miss state (reload state machine)
407 self.state = Signal(State)
408 self.dcbz = Signal()
409 self.write_bram = Signal()
410 self.write_tag = Signal()
411 self.slow_valid = Signal()
412 self.wb = WBMasterOut("wb")
413 self.reload_tag = Signal(TAG_BITS)
414 self.store_way = Signal(WAY_BITS)
415 self.store_row = Signal(ROW_BITS)
416 self.store_index = Signal(INDEX_BITS)
417 self.end_row_ix = Signal(ROW_LINE_BITS)
418 self.rows_valid = RowPerLineValidArray()
419 self.acks_pending = Signal(3)
420 self.inc_acks = Signal()
421 self.dec_acks = Signal()
422
423 # Signals to complete (possibly with error)
424 self.ls_valid = Signal()
425 self.ls_error = Signal()
426 self.mmu_done = Signal()
427 self.mmu_error = Signal()
428 self.cache_paradox = Signal()
429
430 # Signal to complete a failed stcx.
431 self.stcx_fail = Signal()
432
433
434 # Reservation information
435 class Reservation(RecordObject):
436 def __init__(self):
437 super().__init__()
438 self.valid = Signal()
439 self.addr = Signal(64-LINE_OFF_BITS)
440
441
442 class DTLBUpdate(Elaboratable):
443 def __init__(self):
444 self.tlbie = Signal()
445 self.tlbwe = Signal()
446 self.doall = Signal()
447 self.tlb_hit = TLBHit("tlb_hit")
448 self.tlb_req_index = Signal(TLB_SET_BITS)
449
450 self.repl_way = Signal(TLB_WAY_BITS)
451 self.eatag = Signal(TLB_EA_TAG_BITS)
452 self.pte_data = Signal(TLB_PTE_BITS)
453
454 # read from dtlb array
455 self.tlb_read = Signal()
456 self.tlb_read_index = Signal(TLB_SET_BITS)
457 self.tlb_way = TLBRecord("o_tlb_way")
458
459 def elaborate(self, platform):
460 m = Module()
461 comb = m.d.comb
462 sync = m.d.sync
463
464 # there are 3 parts to this:
465 # QTY TLB_NUM_WAYs TAGs - of width (say) 46 bits of Effective Address
466 # QTY TLB_NUM_WAYs PTEs - of width (say) 64 bits
467 # "Valid" bits, one per "way", of QTY TLB_NUM_WAYs. these cannot
468 # be a Memory because they can all be cleared (tlbie, doall), i mean,
469 # we _could_, in theory, by overriding the Reset Signal of the Memory,
470 # hmmm....
471
472 dtlb_valid = TLBValidArray()
473 tlb_req_index = self.tlb_req_index
474
475 print ("TLB_TAG_WAY_BITS", TLB_TAG_WAY_BITS)
476 print (" TLB_EA_TAG_BITS", TLB_EA_TAG_BITS)
477 print (" TLB_NUM_WAYS", TLB_NUM_WAYS)
478 print ("TLB_PTE_WAY_BITS", TLB_PTE_WAY_BITS)
479 print (" TLB_PTE_BITS", TLB_PTE_BITS)
480 print (" TLB_NUM_WAYS", TLB_NUM_WAYS)
481
482 # TAG and PTE Memory SRAMs. transparent, write-enables are TLB_NUM_WAYS
483 tagway = Memory(depth=TLB_SET_SIZE, width=TLB_TAG_WAY_BITS)
484 m.submodules.rd_tagway = rd_tagway = tagway.read_port()
485 m.submodules.wr_tagway = wr_tagway = tagway.write_port(
486 granularity=TLB_EA_TAG_BITS)
487
488 pteway = Memory(depth=TLB_SET_SIZE, width=TLB_PTE_WAY_BITS)
489 m.submodules.rd_pteway = rd_pteway = pteway.read_port()
490 m.submodules.wr_pteway = wr_pteway = pteway.write_port(
491 granularity=TLB_PTE_BITS)
492
493 # commented out for now, can be put in if Memory.reset can be
494 # used for tlbie&doall to reset the entire Memory to zero in 1 cycle
495 #validm = Memory(depth=TLB_SET_SIZE, width=TLB_NUM_WAYS)
496 #m.submodules.rd_valid = rd_valid = validm.read_port()
497 #m.submodules.wr_valid = wr_valid = validm.write_port(
498 #granularity=1)
499
500 # connect up read and write addresses to Valid/PTE/TAG SRAMs
501 m.d.comb += rd_pteway.addr.eq(self.tlb_read_index)
502 m.d.comb += rd_tagway.addr.eq(self.tlb_read_index)
503 #m.d.comb += rd_valid.addr.eq(self.tlb_read_index)
504 m.d.comb += wr_tagway.addr.eq(tlb_req_index)
505 m.d.comb += wr_pteway.addr.eq(tlb_req_index)
506 #m.d.comb += wr_valid.addr.eq(tlb_req_index)
507
508 updated = Signal()
509 v_updated = Signal()
510 tb_out = Signal(TLB_TAG_WAY_BITS) # tlb_way_tags_t
511 db_out = Signal(TLB_NUM_WAYS) # tlb_way_valids_t
512 pb_out = Signal(TLB_PTE_WAY_BITS) # tlb_way_ptes_t
513 dv = Signal(TLB_NUM_WAYS) # tlb_way_valids_t
514
515 comb += dv.eq(dtlb_valid[tlb_req_index])
516 comb += db_out.eq(dv)
517
518 with m.If(self.tlbie & self.doall):
519 # clear all valid bits at once
520 # XXX hmmm, validm _could_ use Memory reset here...
521 for i in range(TLB_SET_SIZE):
522 sync += dtlb_valid[i].eq(0)
523 with m.Elif(self.tlbie):
524 # invalidate just the hit_way
525 with m.If(self.tlb_hit.valid):
526 comb += db_out.bit_select(self.tlb_hit.way, 1).eq(0)
527 comb += v_updated.eq(1)
528 with m.Elif(self.tlbwe):
529 # write to the requested tag and PTE
530 comb += write_tlb_tag(self.repl_way, tb_out, self.eatag)
531 comb += write_tlb_pte(self.repl_way, pb_out, self.pte_data)
532 # set valid bit
533 comb += db_out.bit_select(self.repl_way, 1).eq(1)
534
535 comb += updated.eq(1)
536 comb += v_updated.eq(1)
537
538 # above, sometimes valid is requested to be updated but data not
539 # therefore split them out, here. note the granularity thing matches
540 # with the shift-up of the eatag/pte_data into the correct TLB way.
541 # thus is it not necessary to write the entire lot, just the portion
542 # being altered: hence writing the *old* copy of the row is not needed
543 with m.If(updated): # PTE and TAG to be written
544 comb += wr_pteway.data.eq(pb_out)
545 comb += wr_pteway.en.eq(1<<self.repl_way)
546 comb += wr_tagway.data.eq(tb_out)
547 comb += wr_tagway.en.eq(1<<self.repl_way)
548 with m.If(v_updated): # Valid to be written
549 sync += dtlb_valid[tlb_req_index].eq(db_out)
550 #comb += wr_valid.data.eq(db_out)
551 #comb += wr_valid.en.eq(1<<self.repl_way)
552
553 # select one TLB way, use a register here
554 r_tlb_way = TLBRecord("r_tlb_way")
555 r_delay = Signal()
556 sync += r_delay.eq(self.tlb_read)
557 with m.If(self.tlb_read):
558 sync += self.tlb_way.valid.eq(dtlb_valid[self.tlb_read_index])
559 with m.If(r_delay):
560 # on one clock delay, output the contents of the read port(s)
561 # comb += self.tlb_way.valid.eq(rd_valid.data)
562 comb += self.tlb_way.tag.eq(rd_tagway.data)
563 comb += self.tlb_way.pte.eq(rd_pteway.data)
564 # and also capture the (delayed) output...
565 #sync += r_tlb_way.valid.eq(rd_valid.data)
566 sync += r_tlb_way.tag.eq(rd_tagway.data)
567 sync += r_tlb_way.pte.eq(rd_pteway.data)
568 with m.Else():
569 # ... so that the register can output it when no read is requested
570 # it's rather overkill but better to be safe than sorry
571 comb += self.tlb_way.tag.eq(r_tlb_way.tag)
572 comb += self.tlb_way.pte.eq(r_tlb_way.pte)
573 #comb += self.tlb_way.eq(r_tlb_way)
574
575 return m
576
577
578 class DCachePendingHit(Elaboratable):
579
580 def __init__(self, tlb_way,
581 cache_i_validdx, cache_tag_set,
582 req_addr):
583
584 self.go = Signal()
585 self.virt_mode = Signal()
586 self.is_hit = Signal()
587 self.tlb_hit = TLBHit("tlb_hit")
588 self.hit_way = Signal(WAY_BITS)
589 self.rel_match = Signal()
590 self.req_index = Signal(INDEX_BITS)
591 self.reload_tag = Signal(TAG_BITS)
592
593 self.tlb_way = tlb_way
594 self.cache_i_validdx = cache_i_validdx
595 self.cache_tag_set = cache_tag_set
596 self.req_addr = req_addr
597
598 def elaborate(self, platform):
599 m = Module()
600 comb = m.d.comb
601 sync = m.d.sync
602
603 go = self.go
604 virt_mode = self.virt_mode
605 is_hit = self.is_hit
606 tlb_way = self.tlb_way
607 cache_i_validdx = self.cache_i_validdx
608 cache_tag_set = self.cache_tag_set
609 req_addr = self.req_addr
610 tlb_hit = self.tlb_hit
611 hit_way = self.hit_way
612 rel_match = self.rel_match
613 req_index = self.req_index
614 reload_tag = self.reload_tag
615
616 hit_set = Array(Signal(name="hit_set_%d" % i) \
617 for i in range(TLB_NUM_WAYS))
618 rel_matches = Array(Signal(name="rel_matches_%d" % i) \
619 for i in range(TLB_NUM_WAYS))
620 hit_way_set = HitWaySet()
621
622 # Test if pending request is a hit on any way
623 # In order to make timing in virtual mode,
624 # when we are using the TLB, we compare each
625 # way with each of the real addresses from each way of
626 # the TLB, and then decide later which match to use.
627
628 with m.If(virt_mode):
629 for j in range(TLB_NUM_WAYS): # tlb_num_way_t
630 s_tag = Signal(TAG_BITS, name="s_tag%d" % j)
631 s_hit = Signal(name="s_hit%d" % j)
632 s_pte = Signal(TLB_PTE_BITS, name="s_pte%d" % j)
633 s_ra = Signal(REAL_ADDR_BITS, name="s_ra%d" % j)
634 # read the PTE, calc the Real Address, get tge tag
635 comb += s_pte.eq(read_tlb_pte(j, tlb_way.pte))
636 comb += s_ra.eq(Cat(req_addr[0:TLB_LG_PGSZ],
637 s_pte[TLB_LG_PGSZ:REAL_ADDR_BITS]))
638 comb += s_tag.eq(get_tag(s_ra))
639 # for each way check tge tag against the cache tag set
640 for i in range(NUM_WAYS): # way_t
641 is_tag_hit = Signal(name="is_tag_hit_%d_%d" % (j, i))
642 comb += is_tag_hit.eq(go & cache_i_validdx[i] &
643 (read_tag(i, cache_tag_set) == s_tag)
644 & (tlb_way.valid[j]))
645 with m.If(is_tag_hit):
646 comb += hit_way_set[j].eq(i)
647 comb += s_hit.eq(1)
648 comb += hit_set[j].eq(s_hit)
649 comb += rel_matches[j].eq(s_tag == reload_tag)
650 with m.If(tlb_hit.valid):
651 comb += is_hit.eq(hit_set[tlb_hit.way])
652 comb += hit_way.eq(hit_way_set[tlb_hit.way])
653 comb += rel_match.eq(rel_matches[tlb_hit.way])
654 with m.Else():
655 s_tag = Signal(TAG_BITS)
656 comb += s_tag.eq(get_tag(req_addr))
657 for i in range(NUM_WAYS): # way_t
658 is_tag_hit = Signal(name="is_tag_hit_%d" % i)
659 comb += is_tag_hit.eq(go & cache_i_validdx[i] &
660 (read_tag(i, cache_tag_set) == s_tag))
661 with m.If(is_tag_hit):
662 comb += hit_way.eq(i)
663 comb += is_hit.eq(1)
664 with m.If(s_tag == reload_tag):
665 comb += rel_match.eq(1)
666
667 return m
668
669
670 class DCache(Elaboratable):
671 """Set associative dcache write-through
672
673 TODO (in no specific order):
674 * See list in icache.vhdl
675 * Complete load misses on the cycle when WB data comes instead of
676 at the end of line (this requires dealing with requests coming in
677 while not idle...)
678 """
679 def __init__(self):
680 self.d_in = LoadStore1ToDCacheType("d_in")
681 self.d_out = DCacheToLoadStore1Type("d_out")
682
683 self.m_in = MMUToDCacheType("m_in")
684 self.m_out = DCacheToMMUType("m_out")
685
686 self.stall_out = Signal()
687
688 # standard naming (wired to non-standard for compatibility)
689 self.bus = Interface(addr_width=32,
690 data_width=64,
691 granularity=8,
692 features={'stall'},
693 alignment=0,
694 name="dcache")
695
696 self.log_out = Signal(20)
697
698 def stage_0(self, m, r0, r1, r0_full):
699 """Latch the request in r0.req as long as we're not stalling
700 """
701 comb = m.d.comb
702 sync = m.d.sync
703 d_in, d_out, m_in = self.d_in, self.d_out, self.m_in
704
705 r = RegStage0("stage0")
706
707 # TODO, this goes in unit tests and formal proofs
708 with m.If(d_in.valid & m_in.valid):
709 sync += Display("request collision loadstore vs MMU")
710
711 with m.If(m_in.valid):
712 comb += r.req.valid.eq(1)
713 comb += r.req.load.eq(~(m_in.tlbie | m_in.tlbld))# no invalidate
714 comb += r.req.dcbz.eq(0)
715 comb += r.req.nc.eq(0)
716 comb += r.req.reserve.eq(0)
717 comb += r.req.virt_mode.eq(0)
718 comb += r.req.priv_mode.eq(1)
719 comb += r.req.addr.eq(m_in.addr)
720 comb += r.req.data.eq(m_in.pte)
721 comb += r.req.byte_sel.eq(~0) # Const -1 sets all to 0b111....
722 comb += r.tlbie.eq(m_in.tlbie)
723 comb += r.doall.eq(m_in.doall)
724 comb += r.tlbld.eq(m_in.tlbld)
725 comb += r.mmu_req.eq(1)
726 m.d.sync += Display(" DCACHE req mmu addr %x pte %x ld %d",
727 m_in.addr, m_in.pte, r.req.load)
728
729 with m.Else():
730 comb += r.req.eq(d_in)
731 comb += r.req.data.eq(0)
732 comb += r.tlbie.eq(0)
733 comb += r.doall.eq(0)
734 comb += r.tlbld.eq(0)
735 comb += r.mmu_req.eq(0)
736 with m.If((~r1.full & ~d_in.hold) | ~r0_full):
737 sync += r0.eq(r)
738 sync += r0_full.eq(r.req.valid)
739 # Sample data the cycle after a request comes in from loadstore1.
740 # If another request has come in already then the data will get
741 # put directly into req.data below.
742 with m.If(r0.req.valid & ~r.req.valid & ~r0.d_valid &
743 ~r0.mmu_req):
744 sync += r0.req.data.eq(d_in.data)
745 sync += r0.d_valid.eq(1)
746 with m.If(d_in.valid):
747 m.d.sync += Display(" DCACHE req cache "
748 "virt %d addr %x data %x ld %d",
749 r.req.virt_mode, r.req.addr,
750 r.req.data, r.req.load)
751
752 def tlb_read(self, m, r0_stall, tlb_way):
753 """TLB
754 Operates in the second cycle on the request latched in r0.req.
755 TLB updates write the entry at the end of the second cycle.
756 """
757 comb = m.d.comb
758 sync = m.d.sync
759 m_in, d_in = self.m_in, self.d_in
760
761 addrbits = Signal(TLB_SET_BITS)
762
763 amin = TLB_LG_PGSZ
764 amax = TLB_LG_PGSZ + TLB_SET_BITS
765
766 with m.If(m_in.valid):
767 comb += addrbits.eq(m_in.addr[amin : amax])
768 with m.Else():
769 comb += addrbits.eq(d_in.addr[amin : amax])
770
771 # If we have any op and the previous op isn't finished,
772 # then keep the same output for next cycle.
773 d = self.dtlb_update
774 comb += d.tlb_read_index.eq(addrbits)
775 comb += d.tlb_read.eq(~r0_stall)
776 comb += tlb_way.eq(d.tlb_way)
777
778 def maybe_tlb_plrus(self, m, r1, tlb_plru_victim, tlb_req_index):
779 """Generate TLB PLRUs
780 """
781 comb = m.d.comb
782 sync = m.d.sync
783
784 if TLB_NUM_WAYS == 0:
785 return
786
787 # suite of PLRUs with a selection and output mechanism
788 tlb_plrus = PLRUs(TLB_SET_SIZE, TLB_WAY_BITS)
789 m.submodules.tlb_plrus = tlb_plrus
790 comb += tlb_plrus.way.eq(r1.tlb_hit.way)
791 comb += tlb_plrus.valid.eq(r1.tlb_hit.valid)
792 comb += tlb_plrus.index.eq(r1.tlb_hit_index)
793 comb += tlb_plrus.isel.eq(tlb_req_index) # select victim
794 comb += tlb_plru_victim.eq(tlb_plrus.o_index) # selected victim
795
796 def tlb_search(self, m, tlb_req_index, r0, r0_valid,
797 tlb_way,
798 pte, tlb_hit, valid_ra, perm_attr, ra):
799
800 comb = m.d.comb
801
802 hitway = Signal(TLB_WAY_BITS)
803 hit = Signal()
804 eatag = Signal(TLB_EA_TAG_BITS)
805
806 TLB_LG_END = TLB_LG_PGSZ + TLB_SET_BITS
807 comb += tlb_req_index.eq(r0.req.addr[TLB_LG_PGSZ : TLB_LG_END])
808 comb += eatag.eq(r0.req.addr[TLB_LG_END : 64 ])
809
810 for i in range(TLB_NUM_WAYS):
811 is_tag_hit = Signal(name="is_tag_hit%d" % i)
812 tlb_tag = Signal(TLB_EA_TAG_BITS, name="tlb_tag%d" % i)
813 comb += tlb_tag.eq(read_tlb_tag(i, tlb_way.tag))
814 comb += is_tag_hit.eq((tlb_way.valid[i]) & (tlb_tag == eatag))
815 with m.If(is_tag_hit):
816 comb += hitway.eq(i)
817 comb += hit.eq(1)
818
819 comb += tlb_hit.valid.eq(hit & r0_valid)
820 comb += tlb_hit.way.eq(hitway)
821
822 with m.If(tlb_hit.valid):
823 comb += pte.eq(read_tlb_pte(hitway, tlb_way.pte))
824 comb += valid_ra.eq(tlb_hit.valid | ~r0.req.virt_mode)
825
826 with m.If(r0.req.virt_mode):
827 comb += ra.eq(Cat(Const(0, ROW_OFF_BITS),
828 r0.req.addr[ROW_OFF_BITS:TLB_LG_PGSZ],
829 pte[TLB_LG_PGSZ:REAL_ADDR_BITS]))
830 comb += perm_attr.reference.eq(pte[8])
831 comb += perm_attr.changed.eq(pte[7])
832 comb += perm_attr.nocache.eq(pte[5])
833 comb += perm_attr.priv.eq(pte[3])
834 comb += perm_attr.rd_perm.eq(pte[2])
835 comb += perm_attr.wr_perm.eq(pte[1])
836 with m.Else():
837 comb += ra.eq(Cat(Const(0, ROW_OFF_BITS),
838 r0.req.addr[ROW_OFF_BITS:REAL_ADDR_BITS]))
839 comb += perm_attr.reference.eq(1)
840 comb += perm_attr.changed.eq(1)
841 comb += perm_attr.nocache.eq(0)
842 comb += perm_attr.priv.eq(1)
843 comb += perm_attr.rd_perm.eq(1)
844 comb += perm_attr.wr_perm.eq(1)
845
846 with m.If(valid_ra):
847 m.d.sync += Display("DCACHE virt mode %d hit %d ra %x pte %x",
848 r0.req.virt_mode, tlb_hit.valid, ra, pte)
849 m.d.sync += Display(" perm ref=%d", perm_attr.reference)
850 m.d.sync += Display(" perm chg=%d", perm_attr.changed)
851 m.d.sync += Display(" perm noc=%d", perm_attr.nocache)
852 m.d.sync += Display(" perm prv=%d", perm_attr.priv)
853 m.d.sync += Display(" perm rdp=%d", perm_attr.rd_perm)
854 m.d.sync += Display(" perm wrp=%d", perm_attr.wr_perm)
855
856 def tlb_update(self, m, r0_valid, r0, tlb_req_index,
857 tlb_hit, tlb_plru_victim):
858
859 comb = m.d.comb
860 sync = m.d.sync
861
862 tlbie = Signal()
863 tlbwe = Signal()
864
865 comb += tlbie.eq(r0_valid & r0.tlbie)
866 comb += tlbwe.eq(r0_valid & r0.tlbld)
867
868 d = self.dtlb_update
869
870 comb += d.tlbie.eq(tlbie)
871 comb += d.tlbwe.eq(tlbwe)
872 comb += d.doall.eq(r0.doall)
873 comb += d.tlb_hit.eq(tlb_hit)
874 comb += d.tlb_req_index.eq(tlb_req_index)
875
876 with m.If(tlb_hit.valid):
877 comb += d.repl_way.eq(tlb_hit.way)
878 with m.Else():
879 comb += d.repl_way.eq(tlb_plru_victim)
880 comb += d.eatag.eq(r0.req.addr[TLB_LG_PGSZ + TLB_SET_BITS:64])
881 comb += d.pte_data.eq(r0.req.data)
882
883 def maybe_plrus(self, m, r1, plru_victim):
884 """Generate PLRUs
885 """
886 comb = m.d.comb
887 sync = m.d.sync
888
889 if TLB_NUM_WAYS == 0:
890 return
891
892 # suite of PLRUs with a selection and output mechanism
893 m.submodules.plrus = plrus = PLRUs(NUM_LINES, WAY_BITS)
894 comb += plrus.way.eq(r1.hit_way)
895 comb += plrus.valid.eq(r1.cache_hit)
896 comb += plrus.index.eq(r1.hit_index)
897 comb += plrus.isel.eq(r1.store_index) # select victim
898 comb += plru_victim.eq(plrus.o_index) # selected victim
899
900 def cache_tag_read(self, m, r0_stall, req_index, cache_tag_set, cache_tags):
901 """Cache tag RAM read port
902 """
903 comb = m.d.comb
904 sync = m.d.sync
905 m_in, d_in = self.m_in, self.d_in
906
907 index = Signal(INDEX_BITS)
908
909 with m.If(r0_stall):
910 comb += index.eq(req_index)
911 with m.Elif(m_in.valid):
912 comb += index.eq(get_index(m_in.addr))
913 with m.Else():
914 comb += index.eq(get_index(d_in.addr))
915 sync += cache_tag_set.eq(cache_tags[index].tag)
916
917 def dcache_request(self, m, r0, ra, req_index, req_row, req_tag,
918 r0_valid, r1, cache_tags, replace_way,
919 use_forward1_next, use_forward2_next,
920 req_hit_way, plru_victim, rc_ok, perm_attr,
921 valid_ra, perm_ok, access_ok, req_op, req_go,
922 tlb_hit, tlb_way, cache_tag_set,
923 cancel_store, req_same_tag, r0_stall, early_req_row):
924 """Cache request parsing and hit detection
925 """
926
927 comb = m.d.comb
928 m_in, d_in = self.m_in, self.d_in
929
930 is_hit = Signal()
931 hit_way = Signal(WAY_BITS)
932 op = Signal(Op)
933 opsel = Signal(3)
934 go = Signal()
935 nc = Signal()
936 cache_i_validdx = Signal(NUM_WAYS)
937
938 # Extract line, row and tag from request
939 comb += req_index.eq(get_index(r0.req.addr))
940 comb += req_row.eq(get_row(r0.req.addr))
941 comb += req_tag.eq(get_tag(ra))
942
943 if False: # display on comb is a bit... busy.
944 comb += Display("dcache_req addr:%x ra: %x idx: %x tag: %x row: %x",
945 r0.req.addr, ra, req_index, req_tag, req_row)
946
947 comb += go.eq(r0_valid & ~(r0.tlbie | r0.tlbld) & ~r1.ls_error)
948 comb += cache_i_validdx.eq(cache_tags[req_index].valid)
949
950 m.submodules.dcache_pend = dc = DCachePendingHit(tlb_way,
951 cache_i_validdx, cache_tag_set,
952 r0.req.addr)
953 comb += dc.tlb_hit.eq(tlb_hit)
954 comb += dc.reload_tag.eq(r1.reload_tag)
955 comb += dc.virt_mode.eq(r0.req.virt_mode)
956 comb += dc.go.eq(go)
957 comb += dc.req_index.eq(req_index)
958
959 comb += is_hit.eq(dc.is_hit)
960 comb += hit_way.eq(dc.hit_way)
961 comb += req_same_tag.eq(dc.rel_match)
962
963 # See if the request matches the line currently being reloaded
964 with m.If((r1.state == State.RELOAD_WAIT_ACK) &
965 (req_index == r1.store_index) & req_same_tag):
966 # For a store, consider this a hit even if the row isn't
967 # valid since it will be by the time we perform the store.
968 # For a load, check the appropriate row valid bit.
969 rrow = Signal(ROW_LINE_BITS)
970 comb += rrow.eq(req_row)
971 valid = r1.rows_valid[rrow]
972 comb += is_hit.eq((~r0.req.load) | valid)
973 comb += hit_way.eq(replace_way)
974
975 # Whether to use forwarded data for a load or not
976 with m.If((get_row(r1.req.real_addr) == req_row) &
977 (r1.req.hit_way == hit_way)):
978 # Only need to consider r1.write_bram here, since if we
979 # are writing refill data here, then we don't have a
980 # cache hit this cycle on the line being refilled.
981 # (There is the possibility that the load following the
982 # load miss that started the refill could be to the old
983 # contents of the victim line, since it is a couple of
984 # cycles after the refill starts before we see the updated
985 # cache tag. In that case we don't use the bypass.)
986 comb += use_forward1_next.eq(r1.write_bram)
987 with m.If((r1.forward_row1 == req_row) & (r1.forward_way1 == hit_way)):
988 comb += use_forward2_next.eq(r1.forward_valid1)
989
990 # The way that matched on a hit
991 comb += req_hit_way.eq(hit_way)
992
993 # The way to replace on a miss
994 with m.If(r1.write_tag):
995 comb += replace_way.eq(plru_victim)
996 with m.Else():
997 comb += replace_way.eq(r1.store_way)
998
999 # work out whether we have permission for this access
1000 # NB we don't yet implement AMR, thus no KUAP
1001 comb += rc_ok.eq(perm_attr.reference
1002 & (r0.req.load | perm_attr.changed))
1003 comb += perm_ok.eq((r0.req.priv_mode | (~perm_attr.priv)) &
1004 (perm_attr.wr_perm |
1005 (r0.req.load & perm_attr.rd_perm)))
1006 comb += access_ok.eq(valid_ra & perm_ok & rc_ok)
1007
1008 # Combine the request and cache hit status to decide what
1009 # operation needs to be done
1010 comb += nc.eq(r0.req.nc | perm_attr.nocache)
1011 comb += op.eq(Op.OP_NONE)
1012 with m.If(go):
1013 with m.If(~access_ok):
1014 m.d.sync += Display("DCACHE access fail valid_ra=%d p=%d rc=%d",
1015 valid_ra, perm_ok, rc_ok)
1016 comb += op.eq(Op.OP_BAD)
1017 with m.Elif(cancel_store):
1018 m.d.sync += Display("DCACHE cancel store")
1019 comb += op.eq(Op.OP_STCX_FAIL)
1020 with m.Else():
1021 m.d.sync += Display("DCACHE valid_ra=%d nc=%d ld=%d",
1022 valid_ra, nc, r0.req.load)
1023 comb += opsel.eq(Cat(is_hit, nc, r0.req.load))
1024 with m.Switch(opsel):
1025 with m.Case(0b101): comb += op.eq(Op.OP_LOAD_HIT)
1026 with m.Case(0b100): comb += op.eq(Op.OP_LOAD_MISS)
1027 with m.Case(0b110): comb += op.eq(Op.OP_LOAD_NC)
1028 with m.Case(0b001): comb += op.eq(Op.OP_STORE_HIT)
1029 with m.Case(0b000): comb += op.eq(Op.OP_STORE_MISS)
1030 with m.Case(0b010): comb += op.eq(Op.OP_STORE_MISS)
1031 with m.Case(0b011): comb += op.eq(Op.OP_BAD)
1032 with m.Case(0b111): comb += op.eq(Op.OP_BAD)
1033 comb += req_op.eq(op)
1034 comb += req_go.eq(go)
1035
1036 # Version of the row number that is valid one cycle earlier
1037 # in the cases where we need to read the cache data BRAM.
1038 # If we're stalling then we need to keep reading the last
1039 # row requested.
1040 with m.If(~r0_stall):
1041 with m.If(m_in.valid):
1042 comb += early_req_row.eq(get_row(m_in.addr))
1043 with m.Else():
1044 comb += early_req_row.eq(get_row(d_in.addr))
1045 with m.Else():
1046 comb += early_req_row.eq(req_row)
1047
1048 def reservation_comb(self, m, cancel_store, set_rsrv, clear_rsrv,
1049 r0_valid, r0, reservation):
1050 """Handle load-with-reservation and store-conditional instructions
1051 """
1052 comb = m.d.comb
1053
1054 with m.If(r0_valid & r0.req.reserve):
1055 # XXX generate alignment interrupt if address
1056 # is not aligned XXX or if r0.req.nc = '1'
1057 with m.If(r0.req.load):
1058 comb += set_rsrv.eq(r0.req.atomic_last) # load with reservation
1059 with m.Else():
1060 comb += clear_rsrv.eq(r0.req.atomic_last) # store conditional
1061 with m.If((~reservation.valid) |
1062 (r0.req.addr[LINE_OFF_BITS:64] != reservation.addr)):
1063 comb += cancel_store.eq(1)
1064
1065 def reservation_reg(self, m, r0_valid, access_ok, set_rsrv, clear_rsrv,
1066 reservation, r0):
1067 comb = m.d.comb
1068 sync = m.d.sync
1069
1070 with m.If(r0_valid & access_ok):
1071 with m.If(clear_rsrv):
1072 sync += reservation.valid.eq(0)
1073 with m.Elif(set_rsrv):
1074 sync += reservation.valid.eq(1)
1075 sync += reservation.addr.eq(r0.req.addr[LINE_OFF_BITS:64])
1076
1077 def writeback_control(self, m, r1, cache_out_row):
1078 """Return data for loads & completion control logic
1079 """
1080 comb = m.d.comb
1081 sync = m.d.sync
1082 d_out, m_out = self.d_out, self.m_out
1083
1084 data_out = Signal(64)
1085 data_fwd = Signal(64)
1086
1087 # Use the bypass if are reading the row that was
1088 # written 1 or 2 cycles ago, including for the
1089 # slow_valid = 1 case (i.e. completing a load
1090 # miss or a non-cacheable load).
1091 with m.If(r1.use_forward1):
1092 comb += data_fwd.eq(r1.forward_data1)
1093 with m.Else():
1094 comb += data_fwd.eq(r1.forward_data2)
1095
1096 comb += data_out.eq(cache_out_row)
1097
1098 for i in range(8):
1099 with m.If(r1.forward_sel[i]):
1100 dsel = data_fwd.word_select(i, 8)
1101 comb += data_out.word_select(i, 8).eq(dsel)
1102
1103 # DCache output to LoadStore
1104 comb += d_out.valid.eq(r1.ls_valid)
1105 comb += d_out.data.eq(data_out)
1106 comb += d_out.store_done.eq(~r1.stcx_fail)
1107 comb += d_out.error.eq(r1.ls_error)
1108 comb += d_out.cache_paradox.eq(r1.cache_paradox)
1109
1110 # Outputs to MMU
1111 comb += m_out.done.eq(r1.mmu_done)
1112 comb += m_out.err.eq(r1.mmu_error)
1113 comb += m_out.data.eq(data_out)
1114
1115 # We have a valid load or store hit or we just completed
1116 # a slow op such as a load miss, a NC load or a store
1117 #
1118 # Note: the load hit is delayed by one cycle. However it
1119 # can still not collide with r.slow_valid (well unless I
1120 # miscalculated) because slow_valid can only be set on a
1121 # subsequent request and not on its first cycle (the state
1122 # machine must have advanced), which makes slow_valid
1123 # at least 2 cycles from the previous hit_load_valid.
1124
1125 # Sanity: Only one of these must be set in any given cycle
1126
1127 if False: # TODO: need Display to get this to work
1128 assert (r1.slow_valid & r1.stcx_fail) != 1, \
1129 "unexpected slow_valid collision with stcx_fail"
1130
1131 assert ((r1.slow_valid | r1.stcx_fail) | r1.hit_load_valid) != 1, \
1132 "unexpected hit_load_delayed collision with slow_valid"
1133
1134 with m.If(~r1.mmu_req):
1135 # Request came from loadstore1...
1136 # Load hit case is the standard path
1137 with m.If(r1.hit_load_valid):
1138 sync += Display("completing load hit data=%x", data_out)
1139
1140 # error cases complete without stalling
1141 with m.If(r1.ls_error):
1142 with m.If(r1.dcbz):
1143 sync += Display("completing dcbz with error")
1144 with m.Else():
1145 sync += Display("completing ld/st with error")
1146
1147 # Slow ops (load miss, NC, stores)
1148 with m.If(r1.slow_valid):
1149 sync += Display("completing store or load miss adr=%x data=%x",
1150 r1.req.real_addr, data_out)
1151
1152 with m.Else():
1153 # Request came from MMU
1154 with m.If(r1.hit_load_valid):
1155 sync += Display("completing load hit to MMU, data=%x",
1156 m_out.data)
1157 # error cases complete without stalling
1158 with m.If(r1.mmu_error):
1159 sync += Display("combpleting MMU ld with error")
1160
1161 # Slow ops (i.e. load miss)
1162 with m.If(r1.slow_valid):
1163 sync += Display("completing MMU load miss, adr=%x data=%x",
1164 r1.req.real_addr, m_out.data)
1165
1166 def rams(self, m, r1, early_req_row, cache_out_row, replace_way):
1167 """rams
1168 Generate a cache RAM for each way. This handles the normal
1169 reads, writes from reloads and the special store-hit update
1170 path as well.
1171
1172 Note: the BRAMs have an extra read buffer, meaning the output
1173 is pipelined an extra cycle. This differs from the
1174 icache. The writeback logic needs to take that into
1175 account by using 1-cycle delayed signals for load hits.
1176 """
1177 comb = m.d.comb
1178 bus = self.bus
1179
1180 # a Binary-to-Unary one-hots here. replace-way one-hot is gated
1181 # (enabled) by bus.ack, not-write-bram, and state RELOAD_WAIT_ACK
1182 m.submodules.rams_replace_way_e = rwe = Decoder(NUM_WAYS)
1183 comb += rwe.n.eq(~((r1.state == State.RELOAD_WAIT_ACK) & bus.ack &
1184 ~r1.write_bram))
1185 comb += rwe.i.eq(replace_way)
1186
1187 m.submodules.rams_hit_way_e = hwe = Decoder(NUM_WAYS)
1188 comb += hwe.i.eq(r1.hit_way)
1189
1190 # this one is gated with write_bram, and replace_way_e can never be
1191 # set at the same time. that means that do_write can OR the outputs
1192 m.submodules.rams_hit_req_way_e = hre = Decoder(NUM_WAYS)
1193 comb += hre.n.eq(~r1.write_bram) # Decoder.n is inverted
1194 comb += hre.i.eq(r1.req.hit_way)
1195
1196 # common Signals
1197 do_read = Signal()
1198 wr_addr = Signal(ROW_BITS)
1199 wr_data = Signal(WB_DATA_BITS)
1200 wr_sel = Signal(ROW_SIZE)
1201 rd_addr = Signal(ROW_BITS)
1202
1203 comb += do_read.eq(1) # always enable
1204 comb += rd_addr.eq(early_req_row)
1205
1206 # Write mux:
1207 #
1208 # Defaults to wishbone read responses (cache refill)
1209 #
1210 # For timing, the mux on wr_data/sel/addr is not
1211 # dependent on anything other than the current state.
1212
1213 with m.If(r1.write_bram):
1214 # Write store data to BRAM. This happens one
1215 # cycle after the store is in r0.
1216 comb += wr_data.eq(r1.req.data)
1217 comb += wr_sel.eq(r1.req.byte_sel)
1218 comb += wr_addr.eq(get_row(r1.req.real_addr))
1219
1220 with m.Else():
1221 # Otherwise, we might be doing a reload or a DCBZ
1222 with m.If(r1.dcbz):
1223 comb += wr_data.eq(0)
1224 with m.Else():
1225 comb += wr_data.eq(bus.dat_r)
1226 comb += wr_addr.eq(r1.store_row)
1227 comb += wr_sel.eq(~0) # all 1s
1228
1229 # set up Cache Rams
1230 for i in range(NUM_WAYS):
1231 do_write = Signal(name="do_wr%d" % i)
1232 wr_sel_m = Signal(ROW_SIZE, name="wr_sel_m_%d" % i)
1233 d_out = Signal(WB_DATA_BITS, name="dout_%d" % i) # cache_row_t
1234
1235 way = CacheRam(ROW_BITS, WB_DATA_BITS, ADD_BUF=True, ram_num=i)
1236 m.submodules["cacheram_%d" % i] = way
1237
1238 comb += way.rd_en.eq(do_read)
1239 comb += way.rd_addr.eq(rd_addr)
1240 comb += d_out.eq(way.rd_data_o)
1241 comb += way.wr_sel.eq(wr_sel_m)
1242 comb += way.wr_addr.eq(wr_addr)
1243 comb += way.wr_data.eq(wr_data)
1244
1245 # Cache hit reads
1246 with m.If(hwe.o[i]):
1247 comb += cache_out_row.eq(d_out)
1248
1249 # these are mutually-exclusive via their Decoder-enablers
1250 # (note: Decoder-enable is inverted)
1251 comb += do_write.eq(hre.o[i] | rwe.o[i])
1252
1253 # Mask write selects with do_write since BRAM
1254 # doesn't have a global write-enable
1255 with m.If(do_write):
1256 comb += wr_sel_m.eq(wr_sel)
1257
1258 # Cache hit synchronous machine for the easy case.
1259 # This handles load hits.
1260 # It also handles error cases (TLB miss, cache paradox)
1261 def dcache_fast_hit(self, m, req_op, r0_valid, r0, r1,
1262 req_hit_way, req_index, req_tag, access_ok,
1263 tlb_hit, tlb_req_index):
1264 comb = m.d.comb
1265 sync = m.d.sync
1266
1267 with m.If(req_op != Op.OP_NONE):
1268 sync += Display("op:%d addr:%x nc: %d idx: %x tag: %x way: %x",
1269 req_op, r0.req.addr, r0.req.nc,
1270 req_index, req_tag, req_hit_way)
1271
1272 with m.If(r0_valid):
1273 sync += r1.mmu_req.eq(r0.mmu_req)
1274
1275 # Fast path for load/store hits.
1276 # Set signals for the writeback controls.
1277 sync += r1.hit_way.eq(req_hit_way)
1278 sync += r1.hit_index.eq(req_index)
1279
1280 sync += r1.hit_load_valid.eq(req_op == Op.OP_LOAD_HIT)
1281 sync += r1.cache_hit.eq((req_op == Op.OP_LOAD_HIT) |
1282 (req_op == Op.OP_STORE_HIT))
1283
1284 with m.If(req_op == Op.OP_BAD):
1285 sync += Display("Signalling ld/st error "
1286 "ls_error=%i mmu_error=%i cache_paradox=%i",
1287 ~r0.mmu_req,r0.mmu_req,access_ok)
1288 sync += r1.ls_error.eq(~r0.mmu_req)
1289 sync += r1.mmu_error.eq(r0.mmu_req)
1290 sync += r1.cache_paradox.eq(access_ok)
1291 with m.Else():
1292 sync += r1.ls_error.eq(0)
1293 sync += r1.mmu_error.eq(0)
1294 sync += r1.cache_paradox.eq(0)
1295
1296 sync += r1.stcx_fail.eq(req_op == Op.OP_STCX_FAIL)
1297
1298 # Record TLB hit information for updating TLB PLRU
1299 sync += r1.tlb_hit.eq(tlb_hit)
1300 sync += r1.tlb_hit_index.eq(tlb_req_index)
1301
1302 # Memory accesses are handled by this state machine:
1303 #
1304 # * Cache load miss/reload (in conjunction with "rams")
1305 # * Load hits for non-cachable forms
1306 # * Stores (the collision case is handled in "rams")
1307 #
1308 # All wishbone requests generation is done here.
1309 # This machine operates at stage 1.
1310 def dcache_slow(self, m, r1, use_forward1_next, use_forward2_next,
1311 r0, replace_way,
1312 req_hit_way, req_same_tag,
1313 r0_valid, req_op, cache_tags, req_go, ra):
1314
1315 comb = m.d.comb
1316 sync = m.d.sync
1317 bus = self.bus
1318 d_in = self.d_in
1319
1320 req = MemAccessRequest("mreq_ds")
1321
1322 req_row = Signal(ROW_BITS)
1323 req_idx = Signal(INDEX_BITS)
1324 req_tag = Signal(TAG_BITS)
1325 comb += req_idx.eq(get_index(req.real_addr))
1326 comb += req_row.eq(get_row(req.real_addr))
1327 comb += req_tag.eq(get_tag(req.real_addr))
1328
1329 sync += r1.use_forward1.eq(use_forward1_next)
1330 sync += r1.forward_sel.eq(0)
1331
1332 with m.If(use_forward1_next):
1333 sync += r1.forward_sel.eq(r1.req.byte_sel)
1334 with m.Elif(use_forward2_next):
1335 sync += r1.forward_sel.eq(r1.forward_sel1)
1336
1337 sync += r1.forward_data2.eq(r1.forward_data1)
1338 with m.If(r1.write_bram):
1339 sync += r1.forward_data1.eq(r1.req.data)
1340 sync += r1.forward_sel1.eq(r1.req.byte_sel)
1341 sync += r1.forward_way1.eq(r1.req.hit_way)
1342 sync += r1.forward_row1.eq(get_row(r1.req.real_addr))
1343 sync += r1.forward_valid1.eq(1)
1344 with m.Else():
1345 with m.If(r1.dcbz):
1346 sync += r1.forward_data1.eq(0)
1347 with m.Else():
1348 sync += r1.forward_data1.eq(bus.dat_r)
1349 sync += r1.forward_sel1.eq(~0) # all 1s
1350 sync += r1.forward_way1.eq(replace_way)
1351 sync += r1.forward_row1.eq(r1.store_row)
1352 sync += r1.forward_valid1.eq(0)
1353
1354 # One cycle pulses reset
1355 sync += r1.slow_valid.eq(0)
1356 sync += r1.write_bram.eq(0)
1357 sync += r1.inc_acks.eq(0)
1358 sync += r1.dec_acks.eq(0)
1359
1360 sync += r1.ls_valid.eq(0)
1361 # complete tlbies and TLB loads in the third cycle
1362 sync += r1.mmu_done.eq(r0_valid & (r0.tlbie | r0.tlbld))
1363
1364 with m.If((req_op == Op.OP_LOAD_HIT) | (req_op == Op.OP_STCX_FAIL)):
1365 with m.If(r0.mmu_req):
1366 sync += r1.mmu_done.eq(1)
1367 with m.Else():
1368 sync += r1.ls_valid.eq(1)
1369
1370 with m.If(r1.write_tag):
1371 # Store new tag in selected way
1372 replace_way_onehot = Signal(NUM_WAYS)
1373 comb += replace_way_onehot.eq(1<<replace_way)
1374 for i in range(NUM_WAYS):
1375 with m.If(replace_way_onehot[i]):
1376 ct = Signal(TAG_RAM_WIDTH)
1377 comb += ct.eq(cache_tags[r1.store_index].tag)
1378 comb += ct.word_select(i, TAG_WIDTH).eq(r1.reload_tag)
1379 sync += cache_tags[r1.store_index].tag.eq(ct)
1380 sync += r1.store_way.eq(replace_way)
1381 sync += r1.write_tag.eq(0)
1382
1383 # Take request from r1.req if there is one there,
1384 # else from req_op, ra, etc.
1385 with m.If(r1.full):
1386 comb += req.eq(r1.req)
1387 with m.Else():
1388 comb += req.op.eq(req_op)
1389 comb += req.valid.eq(req_go)
1390 comb += req.mmu_req.eq(r0.mmu_req)
1391 comb += req.dcbz.eq(r0.req.dcbz)
1392 comb += req.real_addr.eq(ra)
1393
1394 with m.If(r0.req.dcbz):
1395 # force data to 0 for dcbz
1396 comb += req.data.eq(0)
1397 with m.Elif(r0.d_valid):
1398 comb += req.data.eq(r0.req.data)
1399 with m.Else():
1400 comb += req.data.eq(d_in.data)
1401
1402 # Select all bytes for dcbz
1403 # and for cacheable loads
1404 with m.If(r0.req.dcbz | (r0.req.load & ~r0.req.nc)):
1405 comb += req.byte_sel.eq(~0) # all 1s
1406 with m.Else():
1407 comb += req.byte_sel.eq(r0.req.byte_sel)
1408 comb += req.hit_way.eq(req_hit_way)
1409 comb += req.same_tag.eq(req_same_tag)
1410
1411 # Store the incoming request from r0,
1412 # if it is a slow request
1413 # Note that r1.full = 1 implies req_op = OP_NONE
1414 with m.If((req_op == Op.OP_LOAD_MISS)
1415 | (req_op == Op.OP_LOAD_NC)
1416 | (req_op == Op.OP_STORE_MISS)
1417 | (req_op == Op.OP_STORE_HIT)):
1418 sync += r1.req.eq(req)
1419 sync += r1.full.eq(1)
1420
1421 # Main state machine
1422 with m.Switch(r1.state):
1423
1424 with m.Case(State.IDLE):
1425 sync += r1.wb.adr.eq(req.real_addr[ROW_LINE_BITS:])
1426 sync += r1.wb.sel.eq(req.byte_sel)
1427 sync += r1.wb.dat.eq(req.data)
1428 sync += r1.dcbz.eq(req.dcbz)
1429
1430 # Keep track of our index and way
1431 # for subsequent stores.
1432 sync += r1.store_index.eq(req_idx)
1433 sync += r1.store_row.eq(req_row)
1434 sync += r1.end_row_ix.eq(get_row_of_line(req_row)-1)
1435 sync += r1.reload_tag.eq(req_tag)
1436 sync += r1.req.same_tag.eq(1)
1437
1438 with m.If(req.op == Op.OP_STORE_HIT):
1439 sync += r1.store_way.eq(req.hit_way)
1440
1441 # Reset per-row valid bits,
1442 # ready for handling OP_LOAD_MISS
1443 for i in range(ROW_PER_LINE):
1444 sync += r1.rows_valid[i].eq(0)
1445
1446 with m.If(req_op != Op.OP_NONE):
1447 sync += Display("cache op %d", req.op)
1448
1449 with m.Switch(req.op):
1450 with m.Case(Op.OP_LOAD_HIT):
1451 # stay in IDLE state
1452 pass
1453
1454 with m.Case(Op.OP_LOAD_MISS):
1455 sync += Display("cache miss real addr: %x " \
1456 "idx: %x tag: %x",
1457 req.real_addr, req_row, req_tag)
1458
1459 # Start the wishbone cycle
1460 sync += r1.wb.we.eq(0)
1461 sync += r1.wb.cyc.eq(1)
1462 sync += r1.wb.stb.eq(1)
1463
1464 # Track that we had one request sent
1465 sync += r1.state.eq(State.RELOAD_WAIT_ACK)
1466 sync += r1.write_tag.eq(1)
1467
1468 with m.Case(Op.OP_LOAD_NC):
1469 sync += r1.wb.cyc.eq(1)
1470 sync += r1.wb.stb.eq(1)
1471 sync += r1.wb.we.eq(0)
1472 sync += r1.state.eq(State.NC_LOAD_WAIT_ACK)
1473
1474 with m.Case(Op.OP_STORE_HIT, Op.OP_STORE_MISS):
1475 with m.If(~req.dcbz):
1476 sync += r1.state.eq(State.STORE_WAIT_ACK)
1477 sync += r1.acks_pending.eq(1)
1478 sync += r1.full.eq(0)
1479 sync += r1.slow_valid.eq(1)
1480
1481 with m.If(req.mmu_req):
1482 sync += r1.mmu_done.eq(1)
1483 with m.Else():
1484 sync += r1.ls_valid.eq(1)
1485
1486 with m.If(req.op == Op.OP_STORE_HIT):
1487 sync += r1.write_bram.eq(1)
1488 with m.Else():
1489 # dcbz is handled much like a load miss except
1490 # that we are writing to memory instead of reading
1491 sync += r1.state.eq(State.RELOAD_WAIT_ACK)
1492
1493 with m.If(req.op == Op.OP_STORE_MISS):
1494 sync += r1.write_tag.eq(1)
1495
1496 sync += r1.wb.we.eq(1)
1497 sync += r1.wb.cyc.eq(1)
1498 sync += r1.wb.stb.eq(1)
1499
1500 # OP_NONE and OP_BAD do nothing
1501 # OP_BAD & OP_STCX_FAIL were
1502 # handled above already
1503 with m.Case(Op.OP_NONE):
1504 pass
1505 with m.Case(Op.OP_BAD):
1506 pass
1507 with m.Case(Op.OP_STCX_FAIL):
1508 pass
1509
1510 with m.Case(State.RELOAD_WAIT_ACK):
1511 ld_stbs_done = Signal()
1512 # Requests are all sent if stb is 0
1513 comb += ld_stbs_done.eq(~r1.wb.stb)
1514
1515 # If we are still sending requests, was one accepted?
1516 with m.If((~bus.stall) & r1.wb.stb):
1517 # That was the last word? We are done sending.
1518 # Clear stb and set ld_stbs_done so we can handle an
1519 # eventual last ack on the same cycle.
1520 # sigh - reconstruct wb adr with 3 extra 0s at front
1521 wb_adr = Cat(Const(0, ROW_OFF_BITS), r1.wb.adr)
1522 with m.If(is_last_row_addr(wb_adr, r1.end_row_ix)):
1523 sync += r1.wb.stb.eq(0)
1524 comb += ld_stbs_done.eq(1)
1525
1526 # Calculate the next row address in the current cache line
1527 row = Signal(LINE_OFF_BITS-ROW_OFF_BITS)
1528 comb += row.eq(r1.wb.adr)
1529 sync += r1.wb.adr[:LINE_OFF_BITS-ROW_OFF_BITS].eq(row+1)
1530
1531 # Incoming acks processing
1532 with m.If(bus.ack):
1533 srow = Signal(ROW_LINE_BITS)
1534 comb += srow.eq(r1.store_row)
1535 sync += r1.rows_valid[srow].eq(1)
1536
1537 # If this is the data we were looking for,
1538 # we can complete the request next cycle.
1539 # Compare the whole address in case the
1540 # request in r1.req is not the one that
1541 # started this refill.
1542 with m.If(req.valid & r1.req.same_tag &
1543 ((r1.dcbz & r1.req.dcbz) |
1544 (~r1.dcbz & (r1.req.op == Op.OP_LOAD_MISS))) &
1545 (r1.store_row == get_row(req.real_addr))):
1546 sync += r1.full.eq(0)
1547 sync += r1.slow_valid.eq(1)
1548 with m.If(r1.mmu_req):
1549 sync += r1.mmu_done.eq(1)
1550 with m.Else():
1551 sync += r1.ls_valid.eq(1)
1552 sync += r1.forward_sel.eq(~0) # all 1s
1553 sync += r1.use_forward1.eq(1)
1554
1555 # Check for completion
1556 with m.If(ld_stbs_done & is_last_row(r1.store_row,
1557 r1.end_row_ix)):
1558 # Complete wishbone cycle
1559 sync += r1.wb.cyc.eq(0)
1560
1561 # Cache line is now valid
1562 cv = Signal(INDEX_BITS)
1563 comb += cv.eq(cache_tags[r1.store_index].valid)
1564 comb += cv.bit_select(r1.store_way, 1).eq(1)
1565 sync += cache_tags[r1.store_index].valid.eq(cv)
1566
1567 sync += r1.state.eq(State.IDLE)
1568 sync += Display("cache valid set %x "
1569 "idx %d way %d",
1570 cv, r1.store_index, r1.store_way)
1571
1572 # Increment store row counter
1573 sync += r1.store_row.eq(next_row(r1.store_row))
1574
1575 with m.Case(State.STORE_WAIT_ACK):
1576 st_stbs_done = Signal()
1577 adjust_acks = Signal(3)
1578
1579 comb += st_stbs_done.eq(~r1.wb.stb)
1580
1581 with m.If(r1.inc_acks != r1.dec_acks):
1582 with m.If(r1.inc_acks):
1583 comb += adjust_acks.eq(r1.acks_pending + 1)
1584 with m.Else():
1585 comb += adjust_acks.eq(r1.acks_pending - 1)
1586 with m.Else():
1587 comb += adjust_acks.eq(r1.acks_pending)
1588
1589 sync += r1.acks_pending.eq(adjust_acks)
1590
1591 # Clear stb when slave accepted request
1592 with m.If(~bus.stall):
1593 # See if there is another store waiting
1594 # to be done which is in the same real page.
1595 with m.If(req.valid):
1596 _ra = req.real_addr[ROW_LINE_BITS:SET_SIZE_BITS]
1597 sync += r1.wb.adr[0:SET_SIZE_BITS].eq(_ra)
1598 sync += r1.wb.dat.eq(req.data)
1599 sync += r1.wb.sel.eq(req.byte_sel)
1600
1601 with m.If((adjust_acks < 7) & req.same_tag &
1602 ((req.op == Op.OP_STORE_MISS)
1603 | (req.op == Op.OP_STORE_HIT))):
1604 sync += r1.wb.stb.eq(1)
1605 comb += st_stbs_done.eq(0)
1606
1607 with m.If(req.op == Op.OP_STORE_HIT):
1608 sync += r1.write_bram.eq(1)
1609 sync += r1.full.eq(0)
1610 sync += r1.slow_valid.eq(1)
1611
1612 # Store requests never come from the MMU
1613 sync += r1.ls_valid.eq(1)
1614 comb += st_stbs_done.eq(0)
1615 sync += r1.inc_acks.eq(1)
1616 with m.Else():
1617 sync += r1.wb.stb.eq(0)
1618 comb += st_stbs_done.eq(1)
1619
1620 # Got ack ? See if complete.
1621 sync += Display("got ack %d %d stbs %d adjust_acks %d",
1622 bus.ack, bus.ack, st_stbs_done, adjust_acks)
1623 with m.If(bus.ack):
1624 with m.If(st_stbs_done & (adjust_acks == 1)):
1625 sync += r1.state.eq(State.IDLE)
1626 sync += r1.wb.cyc.eq(0)
1627 sync += r1.wb.stb.eq(0)
1628 sync += r1.dec_acks.eq(1)
1629
1630 with m.Case(State.NC_LOAD_WAIT_ACK):
1631 # Clear stb when slave accepted request
1632 with m.If(~bus.stall):
1633 sync += r1.wb.stb.eq(0)
1634
1635 # Got ack ? complete.
1636 with m.If(bus.ack):
1637 sync += r1.state.eq(State.IDLE)
1638 sync += r1.full.eq(0)
1639 sync += r1.slow_valid.eq(1)
1640
1641 with m.If(r1.mmu_req):
1642 sync += r1.mmu_done.eq(1)
1643 with m.Else():
1644 sync += r1.ls_valid.eq(1)
1645
1646 sync += r1.forward_sel.eq(~0) # all 1s
1647 sync += r1.use_forward1.eq(1)
1648 sync += r1.wb.cyc.eq(0)
1649 sync += r1.wb.stb.eq(0)
1650
1651 def dcache_log(self, m, r1, valid_ra, tlb_hit, stall_out):
1652
1653 sync = m.d.sync
1654 d_out, bus, log_out = self.d_out, self.bus, self.log_out
1655
1656 sync += log_out.eq(Cat(r1.state[:3], valid_ra, tlb_hit.way[:3],
1657 stall_out, req_op[:3], d_out.valid, d_out.error,
1658 r1.wb.cyc, r1.wb.stb, bus.ack, bus.stall,
1659 r1.real_adr[3:6]))
1660
1661 def elaborate(self, platform):
1662
1663 m = Module()
1664 comb = m.d.comb
1665 d_in = self.d_in
1666
1667 # Storage. Hopefully "cache_rows" is a BRAM, the rest is LUTs
1668 cache_tags = CacheTagArray()
1669 cache_tag_set = Signal(TAG_RAM_WIDTH)
1670
1671 # TODO attribute ram_style : string;
1672 # TODO attribute ram_style of cache_tags : signal is "distributed";
1673
1674 """note: these are passed to nmigen.hdl.Memory as "attributes".
1675 don't know how, just that they are.
1676 """
1677 # TODO attribute ram_style of
1678 # dtlb_tags : signal is "distributed";
1679 # TODO attribute ram_style of
1680 # dtlb_ptes : signal is "distributed";
1681
1682 r0 = RegStage0("r0")
1683 r0_full = Signal()
1684
1685 r1 = RegStage1("r1")
1686
1687 reservation = Reservation()
1688
1689 # Async signals on incoming request
1690 req_index = Signal(INDEX_BITS)
1691 req_row = Signal(ROW_BITS)
1692 req_hit_way = Signal(WAY_BITS)
1693 req_tag = Signal(TAG_BITS)
1694 req_op = Signal(Op)
1695 req_data = Signal(64)
1696 req_same_tag = Signal()
1697 req_go = Signal()
1698
1699 early_req_row = Signal(ROW_BITS)
1700
1701 cancel_store = Signal()
1702 set_rsrv = Signal()
1703 clear_rsrv = Signal()
1704
1705 r0_valid = Signal()
1706 r0_stall = Signal()
1707
1708 use_forward1_next = Signal()
1709 use_forward2_next = Signal()
1710
1711 cache_out_row = Signal(WB_DATA_BITS)
1712
1713 plru_victim = Signal(WAY_BITS)
1714 replace_way = Signal(WAY_BITS)
1715
1716 # Wishbone read/write/cache write formatting signals
1717 bus_sel = Signal(8)
1718
1719 # TLB signals
1720 tlb_way = TLBRecord("tlb_way")
1721 tlb_req_index = Signal(TLB_SET_BITS)
1722 tlb_hit = TLBHit("tlb_hit")
1723 pte = Signal(TLB_PTE_BITS)
1724 ra = Signal(REAL_ADDR_BITS)
1725 valid_ra = Signal()
1726 perm_attr = PermAttr("dc_perms")
1727 rc_ok = Signal()
1728 perm_ok = Signal()
1729 access_ok = Signal()
1730
1731 tlb_plru_victim = Signal(TLB_WAY_BITS)
1732
1733 # we don't yet handle collisions between loadstore1 requests
1734 # and MMU requests
1735 comb += self.m_out.stall.eq(0)
1736
1737 # Hold off the request in r0 when r1 has an uncompleted request
1738 comb += r0_stall.eq(r0_full & (r1.full | d_in.hold))
1739 comb += r0_valid.eq(r0_full & ~r1.full & ~d_in.hold)
1740 comb += self.stall_out.eq(r0_stall)
1741
1742 # deal with litex not doing wishbone pipeline mode
1743 # XXX in wrong way. FIFOs are needed in the SRAM test
1744 # so that stb/ack match up. same thing done in icache.py
1745 comb += self.bus.stall.eq(self.bus.cyc & ~self.bus.ack)
1746
1747 # Wire up wishbone request latch out of stage 1
1748 comb += self.bus.we.eq(r1.wb.we)
1749 comb += self.bus.adr.eq(r1.wb.adr)
1750 comb += self.bus.sel.eq(r1.wb.sel)
1751 comb += self.bus.stb.eq(r1.wb.stb)
1752 comb += self.bus.dat_w.eq(r1.wb.dat)
1753 comb += self.bus.cyc.eq(r1.wb.cyc)
1754
1755 # create submodule TLBUpdate
1756 m.submodules.dtlb_update = self.dtlb_update = DTLBUpdate()
1757
1758 # call sub-functions putting everything together, using shared
1759 # signals established above
1760 self.stage_0(m, r0, r1, r0_full)
1761 self.tlb_read(m, r0_stall, tlb_way)
1762 self.tlb_search(m, tlb_req_index, r0, r0_valid,
1763 tlb_way,
1764 pte, tlb_hit, valid_ra, perm_attr, ra)
1765 self.tlb_update(m, r0_valid, r0, tlb_req_index,
1766 tlb_hit, tlb_plru_victim)
1767 self.maybe_plrus(m, r1, plru_victim)
1768 self.maybe_tlb_plrus(m, r1, tlb_plru_victim, tlb_req_index)
1769 self.cache_tag_read(m, r0_stall, req_index, cache_tag_set, cache_tags)
1770 self.dcache_request(m, r0, ra, req_index, req_row, req_tag,
1771 r0_valid, r1, cache_tags, replace_way,
1772 use_forward1_next, use_forward2_next,
1773 req_hit_way, plru_victim, rc_ok, perm_attr,
1774 valid_ra, perm_ok, access_ok, req_op, req_go,
1775 tlb_hit, tlb_way, cache_tag_set,
1776 cancel_store, req_same_tag, r0_stall, early_req_row)
1777 self.reservation_comb(m, cancel_store, set_rsrv, clear_rsrv,
1778 r0_valid, r0, reservation)
1779 self.reservation_reg(m, r0_valid, access_ok, set_rsrv, clear_rsrv,
1780 reservation, r0)
1781 self.writeback_control(m, r1, cache_out_row)
1782 self.rams(m, r1, early_req_row, cache_out_row, replace_way)
1783 self.dcache_fast_hit(m, req_op, r0_valid, r0, r1,
1784 req_hit_way, req_index, req_tag, access_ok,
1785 tlb_hit, tlb_req_index)
1786 self.dcache_slow(m, r1, use_forward1_next, use_forward2_next,
1787 r0, replace_way,
1788 req_hit_way, req_same_tag,
1789 r0_valid, req_op, cache_tags, req_go, ra)
1790 #self.dcache_log(m, r1, valid_ra, tlb_hit, stall_out)
1791
1792 return m
1793
1794
1795 if __name__ == '__main__':
1796 dut = DCache()
1797 vl = rtlil.convert(dut, ports=[])
1798 with open("test_dcache.il", "w") as f:
1799 f.write(vl)