hmm only set wishbone address if ack is actually received
[soc.git] / src / soc / experiment / icache.py
1 """ICache
2
3 based on Anton Blanchard microwatt icache.vhdl
4
5 Set associative icache
6
7 TODO (in no specific order):
8 * Add debug interface to inspect cache content
9 * Add snoop/invalidate path
10 * Add multi-hit error detection
11 * Pipelined bus interface (wb or axi)
12 * Maybe add parity? There's a few bits free in each BRAM row on Xilinx
13 * Add optimization: service hits on partially loaded lines
14 * Add optimization: (maybe) interrupt reload on fluch/redirect
15 * Check if playing with the geometry of the cache tags allow for more
16 efficient use of distributed RAM and less logic/muxes. Currently we
17 write TAG_BITS width which may not match full ram blocks and might
18 cause muxes to be inferred for "partial writes".
19 * Check if making the read size of PLRU a ROM helps utilization
20
21 """
22 from enum import Enum, unique
23 from nmigen import (Module, Signal, Elaboratable, Cat, Array, Const, Repl)
24 from nmigen.cli import main, rtlil
25 from nmutil.iocontrol import RecordObject
26 from nmigen.utils import log2_int
27 from nmutil.util import Display
28
29 #from nmutil.plru import PLRU
30 from soc.experiment.cache_ram import CacheRam
31 from soc.experiment.plru import PLRU
32
33 from soc.experiment.mem_types import (Fetch1ToICacheType,
34 ICacheToDecode1Type,
35 MMUToICacheType)
36
37 from soc.experiment.wb_types import (WB_ADDR_BITS, WB_DATA_BITS,
38 WB_SEL_BITS, WBAddrType, WBDataType,
39 WBSelType, WBMasterOut, WBSlaveOut,
40 WBMasterOutVector, WBSlaveOutVector,
41 WBIOMasterOut, WBIOSlaveOut)
42
43 # for test
44 from nmigen_soc.wishbone.sram import SRAM
45 from nmigen import Memory
46 from nmutil.util import wrap
47 from nmigen.cli import main, rtlil
48 if True:
49 from nmigen.back.pysim import Simulator, Delay, Settle
50 else:
51 from nmigen.sim.cxxsim import Simulator, Delay, Settle
52
53
54 SIM = 0
55 LINE_SIZE = 64
56 # BRAM organisation: We never access more than wishbone_data_bits
57 # at a time so to save resources we make the array only that wide,
58 # and use consecutive indices for to make a cache "line"
59 #
60 # ROW_SIZE is the width in bytes of the BRAM (based on WB, so 64-bits)
61 ROW_SIZE = WB_DATA_BITS // 8
62 # Number of lines in a set
63 NUM_LINES = 16
64 # Number of ways
65 NUM_WAYS = 4
66 # L1 ITLB number of entries (direct mapped)
67 TLB_SIZE = 64
68 # L1 ITLB log_2(page_size)
69 TLB_LG_PGSZ = 12
70 # Number of real address bits that we store
71 REAL_ADDR_BITS = 56
72 # Non-zero to enable log data collection
73 LOG_LENGTH = 0
74
75 ROW_SIZE_BITS = ROW_SIZE * 8
76 # ROW_PER_LINE is the number of row
77 # (wishbone) transactions in a line
78 ROW_PER_LINE = LINE_SIZE // ROW_SIZE
79 # BRAM_ROWS is the number of rows in
80 # BRAM needed to represent the full icache
81 BRAM_ROWS = NUM_LINES * ROW_PER_LINE
82 # INSN_PER_ROW is the number of 32bit
83 # instructions per BRAM row
84 INSN_PER_ROW = ROW_SIZE_BITS // 32
85
86 print("ROW_SIZE", ROW_SIZE)
87 print("ROW_SIZE_BITS", ROW_SIZE_BITS)
88 print("ROW_PER_LINE", ROW_PER_LINE)
89 print("BRAM_ROWS", BRAM_ROWS)
90 print("INSN_PER_ROW", INSN_PER_ROW)
91
92 # Bit fields counts in the address
93 #
94 # INSN_BITS is the number of bits to
95 # select an instruction in a row
96 INSN_BITS = log2_int(INSN_PER_ROW)
97 # ROW_BITS is the number of bits to
98 # select a row
99 ROW_BITS = log2_int(BRAM_ROWS)
100 # ROW_LINEBITS is the number of bits to
101 # select a row within a line
102 ROW_LINEBITS = log2_int(ROW_PER_LINE)
103 # LINE_OFF_BITS is the number of bits for
104 # the offset in a cache line
105 LINE_OFF_BITS = log2_int(LINE_SIZE)
106 # ROW_OFF_BITS is the number of bits for
107 # the offset in a row
108 ROW_OFF_BITS = log2_int(ROW_SIZE)
109 # INDEX_BITS is the number of bits to
110 # select a cache line
111 INDEX_BITS = log2_int(NUM_LINES)
112 # SET_SIZE_BITS is the log base 2 of
113 # the set size
114 SET_SIZE_BITS = LINE_OFF_BITS + INDEX_BITS
115 # TAG_BITS is the number of bits of
116 # the tag part of the address
117 TAG_BITS = REAL_ADDR_BITS - SET_SIZE_BITS
118 # TAG_WIDTH is the width in bits of each way of the tag RAM
119 TAG_WIDTH = TAG_BITS + 7 - ((TAG_BITS + 7) % 8)
120
121 # WAY_BITS is the number of bits to
122 # select a way
123 WAY_BITS = log2_int(NUM_WAYS)
124 TAG_RAM_WIDTH = TAG_BITS * NUM_WAYS
125
126 # -- L1 ITLB.
127 # constant TLB_BITS : natural := log2(TLB_SIZE);
128 # constant TLB_EA_TAG_BITS : natural := 64 - (TLB_LG_PGSZ + TLB_BITS);
129 # constant TLB_PTE_BITS : natural := 64;
130 TLB_BITS = log2_int(TLB_SIZE)
131 TLB_EA_TAG_BITS = 64 - (TLB_LG_PGSZ + TLB_BITS)
132 TLB_PTE_BITS = 64
133
134
135 print("INSN_BITS", INSN_BITS)
136 print("ROW_BITS", ROW_BITS)
137 print("ROW_LINEBITS", ROW_LINEBITS)
138 print("LINE_OFF_BITS", LINE_OFF_BITS)
139 print("ROW_OFF_BITS", ROW_OFF_BITS)
140 print("INDEX_BITS", INDEX_BITS)
141 print("SET_SIZE_BITS", SET_SIZE_BITS)
142 print("TAG_BITS", TAG_BITS)
143 print("WAY_BITS", WAY_BITS)
144 print("TAG_RAM_WIDTH", TAG_RAM_WIDTH)
145 print("TLB_BITS", TLB_BITS)
146 print("TLB_EA_TAG_BITS", TLB_EA_TAG_BITS)
147 print("TLB_PTE_BITS", TLB_PTE_BITS)
148
149
150
151
152 # architecture rtl of icache is
153 #constant ROW_SIZE_BITS : natural := ROW_SIZE*8;
154 #-- ROW_PER_LINE is the number of row (wishbone
155 #-- transactions) in a line
156 #constant ROW_PER_LINE : natural := LINE_SIZE / ROW_SIZE;
157 #-- BRAM_ROWS is the number of rows in BRAM
158 #-- needed to represent the full
159 #-- icache
160 #constant BRAM_ROWS : natural := NUM_LINES * ROW_PER_LINE;
161 #-- INSN_PER_ROW is the number of 32bit instructions per BRAM row
162 #constant INSN_PER_ROW : natural := ROW_SIZE_BITS / 32;
163 #-- Bit fields counts in the address
164 #
165 #-- INSN_BITS is the number of bits to select
166 #-- an instruction in a row
167 #constant INSN_BITS : natural := log2(INSN_PER_ROW);
168 #-- ROW_BITS is the number of bits to select a row
169 #constant ROW_BITS : natural := log2(BRAM_ROWS);
170 #-- ROW_LINEBITS is the number of bits to
171 #-- select a row within a line
172 #constant ROW_LINEBITS : natural := log2(ROW_PER_LINE);
173 #-- LINE_OFF_BITS is the number of bits for the offset
174 #-- in a cache line
175 #constant LINE_OFF_BITS : natural := log2(LINE_SIZE);
176 #-- ROW_OFF_BITS is the number of bits for the offset in a row
177 #constant ROW_OFF_BITS : natural := log2(ROW_SIZE);
178 #-- INDEX_BITS is the number of bits to select a cache line
179 #constant INDEX_BITS : natural := log2(NUM_LINES);
180 #-- SET_SIZE_BITS is the log base 2 of the set size
181 #constant SET_SIZE_BITS : natural := LINE_OFF_BITS + INDEX_BITS;
182 #-- TAG_BITS is the number of bits of the tag part of the address
183 #constant TAG_BITS : natural := REAL_ADDR_BITS - SET_SIZE_BITS;
184 #-- WAY_BITS is the number of bits to select a way
185 #constant WAY_BITS : natural := log2(NUM_WAYS);
186
187 #-- Example of layout for 32 lines of 64 bytes:
188 #--
189 #-- .. tag |index| line |
190 #-- .. | row | |
191 #-- .. | | | |00| zero (2)
192 #-- .. | | |-| | INSN_BITS (1)
193 #-- .. | |---| | ROW_LINEBITS (3)
194 #-- .. | |--- - --| LINE_OFF_BITS (6)
195 #-- .. | |- --| ROW_OFF_BITS (3)
196 #-- .. |----- ---| | ROW_BITS (8)
197 #-- .. |-----| | INDEX_BITS (5)
198 #-- .. --------| | TAG_BITS (53)
199 # Example of layout for 32 lines of 64 bytes:
200 #
201 # .. tag |index| line |
202 # .. | row | |
203 # .. | | | |00| zero (2)
204 # .. | | |-| | INSN_BITS (1)
205 # .. | |---| | ROW_LINEBITS (3)
206 # .. | |--- - --| LINE_OFF_BITS (6)
207 # .. | |- --| ROW_OFF_BITS (3)
208 # .. |----- ---| | ROW_BITS (8)
209 # .. |-----| | INDEX_BITS (5)
210 # .. --------| | TAG_BITS (53)
211
212 #subtype row_t is integer range 0 to BRAM_ROWS-1;
213 #subtype index_t is integer range 0 to NUM_LINES-1;
214 #subtype way_t is integer range 0 to NUM_WAYS-1;
215 #subtype row_in_line_t is unsigned(ROW_LINEBITS-1 downto 0);
216 #
217 #-- The cache data BRAM organized as described above for each way
218 #subtype cache_row_t is std_ulogic_vector(ROW_SIZE_BITS-1 downto 0);
219 #
220 #-- The cache tags LUTRAM has a row per set. Vivado is a pain and will
221 #-- not handle a clean (commented) definition of the cache tags as a 3d
222 #-- memory. For now, work around it by putting all the tags
223 #subtype cache_tag_t is std_logic_vector(TAG_BITS-1 downto 0);
224 # type cache_tags_set_t is array(way_t) of cache_tag_t;
225 # type cache_tags_array_t is array(index_t) of cache_tags_set_t;
226 #constant TAG_RAM_WIDTH : natural := TAG_BITS * NUM_WAYS;
227 #subtype cache_tags_set_t is std_logic_vector(TAG_RAM_WIDTH-1 downto 0);
228 #type cache_tags_array_t is array(index_t) of cache_tags_set_t;
229 def CacheTagArray():
230 return Array(Signal(TAG_RAM_WIDTH, name="cachetag_%d" %x) \
231 for x in range(NUM_LINES))
232
233 #-- The cache valid bits
234 #subtype cache_way_valids_t is std_ulogic_vector(NUM_WAYS-1 downto 0);
235 #type cache_valids_t is array(index_t) of cache_way_valids_t;
236 #type row_per_line_valid_t is array(0 to ROW_PER_LINE - 1) of std_ulogic;
237 def CacheValidBitsArray():
238 return Array(Signal(NUM_WAYS, name="cachevalid_%d" %x) \
239 for x in range(NUM_LINES))
240
241 def RowPerLineValidArray():
242 return Array(Signal(name="rows_valid_%d" %x) \
243 for x in range(ROW_PER_LINE))
244
245
246 #attribute ram_style : string;
247 #attribute ram_style of cache_tags : signal is "distributed";
248 # TODO to be passed to nigmen as ram attributes
249 # attribute ram_style : string;
250 # attribute ram_style of cache_tags : signal is "distributed";
251
252
253 #subtype tlb_index_t is integer range 0 to TLB_SIZE - 1;
254 #type tlb_valids_t is array(tlb_index_t) of std_ulogic;
255 #subtype tlb_tag_t is std_ulogic_vector(TLB_EA_TAG_BITS - 1 downto 0);
256 #type tlb_tags_t is array(tlb_index_t) of tlb_tag_t;
257 #subtype tlb_pte_t is std_ulogic_vector(TLB_PTE_BITS - 1 downto 0);
258 #type tlb_ptes_t is array(tlb_index_t) of tlb_pte_t;
259 def TLBValidBitsArray():
260 return Array(Signal(name="tlbvalid_%d" %x) \
261 for x in range(TLB_SIZE))
262
263 def TLBTagArray():
264 return Array(Signal(TLB_EA_TAG_BITS, name="tlbtag_%d" %x) \
265 for x in range(TLB_SIZE))
266
267 def TLBPtesArray():
268 return Array(Signal(TLB_PTE_BITS, name="tlbptes_%d" %x) \
269 for x in range(TLB_SIZE))
270
271
272 #-- Cache RAM interface
273 #type cache_ram_out_t is array(way_t) of cache_row_t;
274 # Cache RAM interface
275 def CacheRamOut():
276 return Array(Signal(ROW_SIZE_BITS, name="cache_out_%d" %x) \
277 for x in range(NUM_WAYS))
278
279 #-- PLRU output interface
280 #type plru_out_t is array(index_t) of
281 # std_ulogic_vector(WAY_BITS-1 downto 0);
282 # PLRU output interface
283 def PLRUOut():
284 return Array(Signal(WAY_BITS, name="plru_out_%d" %x) \
285 for x in range(NUM_LINES))
286
287 # -- Return the cache line index (tag index) for an address
288 # function get_index(addr: std_ulogic_vector(63 downto 0))
289 # return index_t is
290 # begin
291 # return to_integer(unsigned(
292 # addr(SET_SIZE_BITS - 1 downto LINE_OFF_BITS)
293 # ));
294 # end;
295 # Return the cache line index (tag index) for an address
296 def get_index(addr):
297 return addr[LINE_OFF_BITS:SET_SIZE_BITS]
298
299 # -- Return the cache row index (data memory) for an address
300 # function get_row(addr: std_ulogic_vector(63 downto 0))
301 # return row_t is
302 # begin
303 # return to_integer(unsigned(
304 # addr(SET_SIZE_BITS - 1 downto ROW_OFF_BITS)
305 # ));
306 # end;
307 # Return the cache row index (data memory) for an address
308 def get_row(addr):
309 return addr[ROW_OFF_BITS:SET_SIZE_BITS]
310
311 # -- Return the index of a row within a line
312 # function get_row_of_line(row: row_t) return row_in_line_t is
313 # variable row_v : unsigned(ROW_BITS-1 downto 0);
314 # begin
315 # row_v := to_unsigned(row, ROW_BITS);
316 # return row_v(ROW_LINEBITS-1 downto 0);
317 # end;
318 # Return the index of a row within a line
319 def get_row_of_line(row):
320 return row[:ROW_LINEBITS]
321
322 # -- Returns whether this is the last row of a line
323 # function is_last_row_addr(addr: wishbone_addr_type;
324 # last: row_in_line_t
325 # )
326 # return boolean is
327 # begin
328 # return unsigned(
329 # addr(LINE_OFF_BITS-1 downto ROW_OFF_BITS)
330 # ) = last;
331 # end;
332 # Returns whether this is the last row of a line
333 def is_last_row_addr(addr, last):
334 return addr[ROW_OFF_BITS:LINE_OFF_BITS] == last
335
336 # -- Returns whether this is the last row of a line
337 # function is_last_row(row: row_t;
338 # last: row_in_line_t) return boolean is
339 # begin
340 # return get_row_of_line(row) = last;
341 # end;
342 # Returns whether this is the last row of a line
343 def is_last_row(row, last):
344 return get_row_of_line(row) == last
345
346 # -- Return the next row in the current cache line. We use a dedicated
347 # -- function in order to limit the size of the generated adder to be
348 # -- only the bits within a cache line (3 bits with default settings)
349 # function next_row(row: row_t) return row_t is
350 # variable row_v : std_ulogic_vector(ROW_BITS-1 downto 0);
351 # variable row_idx : std_ulogic_vector(ROW_LINEBITS-1 downto 0);
352 # variable result : std_ulogic_vector(ROW_BITS-1 downto 0);
353 # begin
354 # row_v := std_ulogic_vector(to_unsigned(row, ROW_BITS));
355 # row_idx := row_v(ROW_LINEBITS-1 downto 0);
356 # row_v(ROW_LINEBITS-1 downto 0) :=
357 # std_ulogic_vector(unsigned(row_idx) + 1);
358 # return to_integer(unsigned(row_v));
359 # end;
360 # Return the next row in the current cache line. We use a dedicated
361 # function in order to limit the size of the generated adder to be
362 # only the bits within a cache line (3 bits with default settings)
363 def next_row(row):
364 row_v = row[0:ROW_LINEBITS] + 1
365 return Cat(row_v[:ROW_LINEBITS], row[ROW_LINEBITS:])
366 # -- Read the instruction word for the given address in the
367 # -- current cache row
368 # function read_insn_word(addr: std_ulogic_vector(63 downto 0);
369 # data: cache_row_t) return std_ulogic_vector is
370 # variable word: integer range 0 to INSN_PER_ROW-1;
371 # begin
372 # word := to_integer(unsigned(addr(INSN_BITS+2-1 downto 2)));
373 # return data(31+word*32 downto word*32);
374 # end;
375 # Read the instruction word for the given address
376 # in the current cache row
377 def read_insn_word(addr, data):
378 word = addr[2:INSN_BITS+2]
379 return data.word_select(word, 32)
380
381 # -- Get the tag value from the address
382 # function get_tag(
383 # addr: std_ulogic_vector(REAL_ADDR_BITS - 1 downto 0)
384 # )
385 # return cache_tag_t is
386 # begin
387 # return addr(REAL_ADDR_BITS - 1 downto SET_SIZE_BITS);
388 # end;
389 # Get the tag value from the address
390 def get_tag(addr):
391 return addr[SET_SIZE_BITS:REAL_ADDR_BITS]
392
393 # -- Read a tag from a tag memory row
394 # function read_tag(way: way_t; tagset: cache_tags_set_t)
395 # return cache_tag_t is
396 # begin
397 # return tagset((way+1) * TAG_BITS - 1 downto way * TAG_BITS);
398 # end;
399 # Read a tag from a tag memory row
400 def read_tag(way, tagset):
401 return tagset.word_select(way, TAG_BITS)
402
403 # -- Write a tag to tag memory row
404 # procedure write_tag(way: in way_t;
405 # tagset: inout cache_tags_set_t; tag: cache_tag_t) is
406 # begin
407 # tagset((way+1) * TAG_BITS - 1 downto way * TAG_BITS) := tag;
408 # end;
409 # Write a tag to tag memory row
410 def write_tag(way, tagset, tag):
411 return read_tag(way, tagset).eq(tag)
412
413 # -- Simple hash for direct-mapped TLB index
414 # function hash_ea(addr: std_ulogic_vector(63 downto 0))
415 # return tlb_index_t is
416 # variable hash : std_ulogic_vector(TLB_BITS - 1 downto 0);
417 # begin
418 # hash := addr(TLB_LG_PGSZ + TLB_BITS - 1 downto TLB_LG_PGSZ)
419 # xor addr(
420 # TLB_LG_PGSZ + 2 * TLB_BITS - 1 downto
421 # TLB_LG_PGSZ + TLB_BITS
422 # )
423 # xor addr(
424 # TLB_LG_PGSZ + 3 * TLB_BITS - 1 downto
425 # TLB_LG_PGSZ + 2 * TLB_BITS
426 # );
427 # return to_integer(unsigned(hash));
428 # end;
429 # Simple hash for direct-mapped TLB index
430 def hash_ea(addr):
431 hsh = addr[TLB_LG_PGSZ:TLB_LG_PGSZ + TLB_BITS] ^ addr[
432 TLB_LG_PGSZ + TLB_BITS:TLB_LG_PGSZ + 2 * TLB_BITS
433 ] ^ addr[
434 TLB_LG_PGSZ + 2 * TLB_BITS:TLB_LG_PGSZ + 3 * TLB_BITS
435 ]
436 return hsh
437
438 # begin
439 #
440 # XXX put these assert statements in - as python asserts
441 #
442 # assert LINE_SIZE mod ROW_SIZE = 0;
443 # assert ispow2(LINE_SIZE) report "LINE_SIZE not power of 2"
444 # assert ispow2(NUM_LINES) report "NUM_LINES not power of 2"
445 # assert ispow2(ROW_PER_LINE) report "ROW_PER_LINE not power of 2"
446 # assert ispow2(INSN_PER_ROW) report "INSN_PER_ROW not power of 2"
447 # assert (ROW_BITS = INDEX_BITS + ROW_LINEBITS)
448 # report "geometry bits don't add up"
449 # assert (LINE_OFF_BITS = ROW_OFF_BITS + ROW_LINEBITS)
450 # report "geometry bits don't add up"
451 # assert (REAL_ADDR_BITS = TAG_BITS + INDEX_BITS + LINE_OFF_BITS)
452 # report "geometry bits don't add up"
453 # assert (REAL_ADDR_BITS = TAG_BITS + ROW_BITS + ROW_OFF_BITS)
454 # report "geometry bits don't add up"
455 #
456 # sim_debug: if SIM generate
457 # debug: process
458 # begin
459 # report "ROW_SIZE = " & natural'image(ROW_SIZE);
460 # report "ROW_PER_LINE = " & natural'image(ROW_PER_LINE);
461 # report "BRAM_ROWS = " & natural'image(BRAM_ROWS);
462 # report "INSN_PER_ROW = " & natural'image(INSN_PER_ROW);
463 # report "INSN_BITS = " & natural'image(INSN_BITS);
464 # report "ROW_BITS = " & natural'image(ROW_BITS);
465 # report "ROW_LINEBITS = " & natural'image(ROW_LINEBITS);
466 # report "LINE_OFF_BITS = " & natural'image(LINE_OFF_BITS);
467 # report "ROW_OFF_BITS = " & natural'image(ROW_OFF_BITS);
468 # report "INDEX_BITS = " & natural'image(INDEX_BITS);
469 # report "TAG_BITS = " & natural'image(TAG_BITS);
470 # report "WAY_BITS = " & natural'image(WAY_BITS);
471 # wait;
472 # end process;
473 # end generate;
474
475 # Cache reload state machine
476 @unique
477 class State(Enum):
478 IDLE = 0
479 CLR_TAG = 1
480 WAIT_ACK = 2
481
482
483 class RegInternal(RecordObject):
484 def __init__(self):
485 super().__init__()
486 # Cache hit state (Latches for 1 cycle BRAM access)
487 self.hit_way = Signal(NUM_WAYS)
488 self.hit_nia = Signal(64)
489 self.hit_smark = Signal()
490 self.hit_valid = Signal()
491
492 # Cache miss state (reload state machine)
493 self.state = Signal(State, reset=State.IDLE)
494 self.wb = WBMasterOut("wb")
495 self.req_adr = Signal(64)
496 self.store_way = Signal(NUM_WAYS)
497 self.store_index = Signal(NUM_LINES)
498 self.store_row = Signal(BRAM_ROWS)
499 self.store_tag = Signal(TAG_BITS)
500 self.store_valid = Signal()
501 self.end_row_ix = Signal(ROW_LINEBITS)
502 self.rows_valid = RowPerLineValidArray()
503
504 # TLB miss state
505 self.fetch_failed = Signal()
506
507 # -- 64 bit direct mapped icache. All instructions are 4B aligned.
508 #
509 # entity icache is
510 # generic (
511 # SIM : boolean := false;
512 # -- Line size in bytes
513 # LINE_SIZE : positive := 64;
514 # -- BRAM organisation: We never access more
515 # -- than wishbone_data_bits
516 # -- at a time so to save resources we make the
517 # -- array only that wide,
518 # -- and use consecutive indices for to make a cache "line"
519 # --
520 # -- ROW_SIZE is the width in bytes of the BRAM (based on WB,
521 # -- so 64-bits)
522 # ROW_SIZE : positive := wishbone_data_bits / 8;
523 # -- Number of lines in a set
524 # NUM_LINES : positive := 32;
525 # -- Number of ways
526 # NUM_WAYS : positive := 4;
527 # -- L1 ITLB number of entries (direct mapped)
528 # TLB_SIZE : positive := 64;
529 # -- L1 ITLB log_2(page_size)
530 # TLB_LG_PGSZ : positive := 12;
531 # -- Number of real address bits that we store
532 # REAL_ADDR_BITS : positive := 56;
533 # -- Non-zero to enable log data collection
534 # LOG_LENGTH : natural := 0
535 # );
536 # port (
537 # clk : in std_ulogic;
538 # rst : in std_ulogic;
539 #
540 # i_in : in Fetch1ToIcacheType;
541 # i_out : out IcacheToDecode1Type;
542 #
543 # m_in : in MmuToIcacheType;
544 #
545 # stall_in : in std_ulogic;
546 # stall_out : out std_ulogic;
547 # flush_in : in std_ulogic;
548 # inval_in : in std_ulogic;
549 #
550 # wishbone_out : out wishbone_master_out;
551 # wishbone_in : in wishbone_slave_out;
552 #
553 # log_out : out std_ulogic_vector(53 downto 0)
554 # );
555 # end entity icache;
556 # 64 bit direct mapped icache. All instructions are 4B aligned.
557 class ICache(Elaboratable):
558 """64 bit direct mapped icache. All instructions are 4B aligned."""
559 def __init__(self):
560 self.i_in = Fetch1ToICacheType(name="i_in")
561 self.i_out = ICacheToDecode1Type(name="i_out")
562
563 self.m_in = MMUToICacheType(name="m_in")
564
565 self.stall_in = Signal()
566 self.stall_out = Signal()
567 self.flush_in = Signal()
568 self.inval_in = Signal()
569
570 self.wb_out = WBMasterOut(name="wb_out")
571 self.wb_in = WBSlaveOut(name="wb_in")
572
573 self.log_out = Signal(54)
574
575
576 # Generate a cache RAM for each way
577 def rams(self, m, r, cache_out_row, use_previous, replace_way, req_row):
578 comb = m.d.comb
579 sync = m.d.sync
580
581 wb_in, stall_in = self.wb_in, self.stall_in
582
583 for i in range(NUM_WAYS):
584 do_read = Signal(name="do_rd_%d" % i)
585 do_write = Signal(name="do_wr_%d" % i)
586 rd_addr = Signal(ROW_BITS)
587 wr_addr = Signal(ROW_BITS)
588 d_out = Signal(ROW_SIZE_BITS, name="d_out_%d" % i)
589 wr_sel = Signal(ROW_SIZE)
590
591 way = CacheRam(ROW_BITS, ROW_SIZE_BITS, True)
592 setattr(m.submodules, "cacheram_%d" % i, way)
593
594 comb += way.rd_en.eq(do_read)
595 comb += way.rd_addr.eq(rd_addr)
596 comb += d_out.eq(way.rd_data_o)
597 comb += way.wr_sel.eq(wr_sel)
598 comb += way.wr_addr.eq(wr_addr)
599 comb += way.wr_data.eq(wb_in.dat)
600
601 comb += do_read.eq(~(stall_in | use_previous))
602 comb += do_write.eq(wb_in.ack & (replace_way == i))
603
604 with m.If(do_write):
605 sync += Display("cache write adr: %x data: %lx",
606 wr_addr, way.wr_data)
607
608 with m.If(r.hit_way == i):
609 comb += cache_out_row.eq(d_out)
610 with m.If(do_read):
611 sync += Display("cache read adr: %x data: %x",
612 req_row, d_out)
613
614 comb += rd_addr.eq(req_row)
615 comb += wr_addr.eq(r.store_row)
616 comb += wr_sel.eq(Repl(do_write, ROW_SIZE))
617
618 # -- Generate PLRUs
619 def maybe_plrus(self, m, r, plru_victim):
620 comb = m.d.comb
621
622 with m.If(NUM_WAYS > 1):
623 for i in range(NUM_LINES):
624 plru_acc_i = Signal(WAY_BITS)
625 plru_acc_en = Signal()
626 plru = PLRU(WAY_BITS)
627 setattr(m.submodules, "plru_%d" % i, plru)
628
629 comb += plru.acc_i.eq(plru_acc_i)
630 comb += plru.acc_en.eq(plru_acc_en)
631
632 # PLRU interface
633 with m.If(get_index(r.hit_nia) == i):
634 comb += plru.acc_en.eq(r.hit_valid)
635
636 comb += plru.acc_i.eq(r.hit_way)
637 comb += plru_victim[i].eq(plru.lru_o)
638
639 # TLB hit detection and real address generation
640 def itlb_lookup(self, m, tlb_req_index, itlb_ptes, itlb_tags,
641 real_addr, itlb_valid_bits, ra_valid, eaa_priv,
642 priv_fault, access_ok):
643 comb = m.d.comb
644
645 i_in = self.i_in
646
647 pte = Signal(TLB_PTE_BITS)
648 ttag = Signal(TLB_EA_TAG_BITS)
649
650 comb += tlb_req_index.eq(hash_ea(i_in.nia))
651 comb += pte.eq(itlb_ptes[tlb_req_index])
652 comb += ttag.eq(itlb_tags[tlb_req_index])
653
654 with m.If(i_in.virt_mode):
655 comb += real_addr.eq(Cat(
656 i_in.nia[:TLB_LG_PGSZ],
657 pte[TLB_LG_PGSZ:REAL_ADDR_BITS]
658 ))
659
660 with m.If(ttag == i_in.nia[TLB_LG_PGSZ + TLB_BITS:64]):
661 comb += ra_valid.eq(itlb_valid_bits[tlb_req_index])
662
663 comb += eaa_priv.eq(pte[3])
664
665 with m.Else():
666 comb += real_addr.eq(i_in.nia[:REAL_ADDR_BITS])
667 comb += ra_valid.eq(1)
668 comb += eaa_priv.eq(1)
669
670 # No IAMR, so no KUEP support for now
671 comb += priv_fault.eq(eaa_priv & ~i_in.priv_mode)
672 comb += access_ok.eq(ra_valid & ~priv_fault)
673
674 # iTLB update
675 def itlb_update(self, m, itlb_valid_bits, itlb_tags, itlb_ptes):
676 comb = m.d.comb
677 sync = m.d.sync
678
679 m_in = self.m_in
680
681 wr_index = Signal(TLB_SIZE)
682 comb += wr_index.eq(hash_ea(m_in.addr))
683
684 with m.If(m_in.tlbie & m_in.doall):
685 # Clear all valid bits
686 for i in range(TLB_SIZE):
687 sync += itlb_valid_bits[i].eq(0)
688
689 with m.Elif(m_in.tlbie):
690 # Clear entry regardless of hit or miss
691 sync += itlb_valid_bits[wr_index].eq(0)
692
693 with m.Elif(m_in.tlbld):
694 sync += itlb_tags[wr_index].eq(
695 m_in.addr[TLB_LG_PGSZ + TLB_BITS:64]
696 )
697 sync += itlb_ptes[wr_index].eq(m_in.pte)
698 sync += itlb_valid_bits[wr_index].eq(1)
699
700 # Cache hit detection, output to fetch2 and other misc logic
701 def icache_comb(self, m, use_previous, r, req_index, req_row,
702 req_tag, real_addr, req_laddr, cache_valid_bits,
703 cache_tags, access_ok, req_is_hit,
704 req_is_miss, replace_way, plru_victim, cache_out_row):
705 comb = m.d.comb
706
707 #comb += Display("ENTER icache_comb - use_previous:%x req_index:%x "
708 # "req_row:%x req_tag:%x real_addr:%x req_laddr:%x "
709 # "access_ok:%x req_is_hit:%x req_is_miss:%x "
710 # "replace_way:%x", use_previous, req_index, req_row,
711 # req_tag, real_addr, req_laddr, access_ok,
712 # req_is_hit, req_is_miss, replace_way)
713
714 i_in, i_out, wb_out = self.i_in, self.i_out, self.wb_out
715 flush_in, stall_out = self.flush_in, self.stall_out
716
717 is_hit = Signal()
718 hit_way = Signal(NUM_WAYS)
719
720 # i_in.sequential means that i_in.nia this cycle is 4 more than
721 # last cycle. If we read more than 32 bits at a time, had a
722 # cache hit last cycle, and we don't want the first 32-bit chunk
723 # then we can keep the data we read last cycle and just use that.
724 with m.If(i_in.nia[2:INSN_BITS+2] != 0):
725 comb += use_previous.eq(i_in.sequential & r.hit_valid)
726
727 # Extract line, row and tag from request
728 comb += req_index.eq(get_index(i_in.nia))
729 comb += req_row.eq(get_row(i_in.nia))
730 comb += req_tag.eq(get_tag(real_addr))
731
732 # Calculate address of beginning of cache row, will be
733 # used for cache miss processing if needed
734 comb += req_laddr.eq(Cat(
735 Const(0, ROW_OFF_BITS),
736 real_addr[ROW_OFF_BITS:REAL_ADDR_BITS],
737 ))
738
739 # Test if pending request is a hit on any way
740 hitcond = Signal()
741 comb += hitcond.eq((r.state == State.WAIT_ACK)
742 & (req_index == r.store_index)
743 & r.rows_valid[req_row % ROW_PER_LINE])
744 with m.If(i_in.req):
745 cvb = Signal(NUM_WAYS)
746 ctag = Signal(TAG_RAM_WIDTH)
747 comb += ctag.eq(cache_tags[req_index])
748 comb += cvb.eq(cache_valid_bits[req_index])
749 for i in range(NUM_WAYS):
750 tagi = Signal(TAG_BITS, name="ti%d" % i)
751 comb += tagi.eq(read_tag(i, ctag))
752 hit_test = Signal(name="hit_test%d" % i)
753 comb += hit_test.eq(i == r.store_way)
754 with m.If((cvb[i] | (hitcond & hit_test)) & (tagi == req_tag)):
755 comb += hit_way.eq(i)
756 comb += is_hit.eq(1)
757
758 # Generate the "hit" and "miss" signals
759 # for the synchronous blocks
760 with m.If(i_in.req & access_ok & ~flush_in):
761 comb += req_is_hit.eq(is_hit)
762 comb += req_is_miss.eq(~is_hit)
763
764 # The way to replace on a miss
765 with m.If(r.state == State.CLR_TAG):
766 comb += replace_way.eq(plru_victim[r.store_index])
767 with m.Else():
768 comb += replace_way.eq(r.store_way)
769
770 # Output instruction from current cache row
771 #
772 # Note: This is a mild violation of our design principle of
773 # having pipeline stages output from a clean latch. In this
774 # case we output the result of a mux. The alternative would
775 # be output an entire row which I prefer not to do just yet
776 # as it would force fetch2 to know about some of the cache
777 # geometry information.
778 #comb += Display("BEFORE read_insn_word - r.hit_nia:%x " \
779 # "r.hit_way:%x, cache_out[r.hit_way]:%x", r.hit_nia, \
780 # r.hit_way, cache_out[r.hit_way])
781 comb += i_out.insn.eq(read_insn_word(r.hit_nia, cache_out_row))
782 comb += i_out.valid.eq(r.hit_valid)
783 comb += i_out.nia.eq(r.hit_nia)
784 comb += i_out.stop_mark.eq(r.hit_smark)
785 comb += i_out.fetch_failed.eq(r.fetch_failed)
786
787 # Stall fetch1 if we have a miss on cache or TLB
788 # or a protection fault
789 comb += stall_out.eq(~(is_hit & access_ok))
790
791 # Wishbone requests output (from the cache miss reload machine)
792 comb += wb_out.eq(r.wb)
793
794 # Cache hit synchronous machine
795 def icache_hit(self, m, use_previous, r, req_is_hit, req_hit_way,
796 req_index, req_tag, real_addr):
797 sync = m.d.sync
798
799 i_in, stall_in = self.i_in, self.stall_in
800 flush_in = self.flush_in
801
802 # keep outputs to fetch2 unchanged on a stall
803 # except that flush or reset sets valid to 0
804 # If use_previous, keep the same data as last
805 # cycle and use the second half
806 with m.If(stall_in | use_previous):
807 with m.If(flush_in):
808 sync += r.hit_valid.eq(0)
809 with m.Else():
810 # On a hit, latch the request for the next cycle,
811 # when the BRAM data will be available on the
812 # cache_out output of the corresponding way
813 sync += r.hit_valid.eq(req_is_hit)
814
815 with m.If(req_is_hit):
816 sync += r.hit_way.eq(req_hit_way)
817 sync += Display("cache hit nia:%x IR:%x SM:%x idx:%x " \
818 "tag:%x way:%x RA:%x", i_in.nia, \
819 i_in.virt_mode, i_in.stop_mark, req_index, \
820 req_tag, req_hit_way, real_addr)
821
822
823
824 with m.If(~stall_in):
825 # Send stop marks and NIA down regardless of validity
826 sync += r.hit_smark.eq(i_in.stop_mark)
827 sync += r.hit_nia.eq(i_in.nia)
828
829 # Cache miss/reload synchronous machine
830 def icache_miss(self, m, cache_valid_bits, r, req_is_miss,
831 req_index, req_laddr, req_tag, replace_way,
832 cache_tags, access_ok, real_addr):
833 comb = m.d.comb
834 sync = m.d.sync
835
836 i_in, wb_in, m_in = self.i_in, self.wb_in, self.m_in
837 stall_in, flush_in = self.stall_in, self.flush_in
838 inval_in = self.inval_in
839
840 # variable tagset : cache_tags_set_t;
841 # variable stbs_done : boolean;
842
843 tagset = Signal(TAG_RAM_WIDTH)
844 stbs_done = Signal()
845
846 comb += r.wb.sel.eq(-1)
847 comb += r.wb.adr.eq(r.req_adr[3:])
848
849 # Process cache invalidations
850 with m.If(inval_in):
851 for i in range(NUM_LINES):
852 sync += cache_valid_bits[i].eq(0)
853 sync += r.store_valid.eq(0)
854
855 # Main state machine
856 with m.Switch(r.state):
857
858 with m.Case(State.IDLE):
859 # Reset per-row valid flags,
860 # only used in WAIT_ACK
861 for i in range(ROW_PER_LINE):
862 sync += r.rows_valid[i].eq(0)
863
864 # We need to read a cache line
865 with m.If(req_is_miss):
866 sync += Display("cache miss nia:%x IR:%x SM:%x idx:%x "
867 " way:%x tag:%x RA:%x", i_in.nia,
868 i_in.virt_mode, i_in.stop_mark, req_index,
869 replace_way, req_tag, real_addr)
870
871 # Keep track of our index and way
872 # for subsequent stores
873 st_row = Signal(BRAM_ROWS)
874 comb += st_row.eq(get_row(req_laddr))
875 sync += r.store_index.eq(req_index)
876 sync += r.store_row.eq(st_row)
877 sync += r.store_tag.eq(req_tag)
878 sync += r.store_valid.eq(1)
879 sync += r.end_row_ix.eq(get_row_of_line(st_row) - 1)
880
881 # Prep for first wishbone read. We calculate the
882 # address of the start of the cache line and
883 # start the WB cycle.
884 sync += r.req_adr.eq(req_laddr)
885 sync += r.wb.cyc.eq(1)
886 sync += r.wb.stb.eq(1)
887
888 # Track that we had one request sent
889 sync += r.state.eq(State.CLR_TAG)
890
891 with m.Case(State.CLR_TAG, State.WAIT_ACK):
892 with m.If(r.state == State.CLR_TAG):
893 # Get victim way from plru
894 sync += r.store_way.eq(replace_way)
895 # Force misses on that way while reloading that line
896 cv = Signal(INDEX_BITS)
897 comb += cv.eq(cache_valid_bits[req_index])
898 comb += cv.bit_select(replace_way, 1).eq(0)
899 sync += cache_valid_bits[req_index].eq(cv)
900
901 for i in range(NUM_WAYS):
902 with m.If(i == replace_way):
903 comb += tagset.eq(cache_tags[r.store_index])
904 comb += write_tag(i, tagset, r.store_tag)
905 sync += cache_tags[r.store_index].eq(tagset)
906
907 sync += r.state.eq(State.WAIT_ACK)
908
909 # Requests are all sent if stb is 0
910 stbs_zero = Signal()
911 comb += stbs_zero.eq(r.wb.stb == 0)
912 comb += stbs_done.eq(stbs_zero)
913
914 # If we are still sending requests, was one accepted?
915 with m.If(~wb_in.stall & ~stbs_zero):
916 # That was the last word ? # We are done sending.
917 # Clear stb and set stbs_done # so we can handle
918 # an eventual last ack on # the same cycle.
919 with m.If(is_last_row_addr(r.req_adr, r.end_row_ix)):
920 sync += Display("IS_LAST_ROW_ADDR " \
921 "r.wb.addr:%x r.end_row_ix:%x " \
922 "r.wb.stb:%x stbs_zero:%x " \
923 "stbs_done:%x", r.wb.adr, \
924 r.end_row_ix, r.wb.stb, \
925 stbs_zero, stbs_done)
926 sync += r.wb.stb.eq(0)
927 comb += stbs_done.eq(1)
928
929 # Incoming acks processing
930 with m.If(wb_in.ack):
931 sync += Display("WB_IN_ACK data:%x stbs_zero:%x "
932 "stbs_done:%x",
933 wb_in.dat, stbs_zero, stbs_done)
934
935 sync += r.rows_valid[r.store_row % ROW_PER_LINE].eq(1)
936
937 # Check for completion
938 with m.If(stbs_done &
939 is_last_row(r.store_row, r.end_row_ix)):
940 # Complete wishbone cycle
941 sync += r.wb.cyc.eq(0)
942
943 # Cache line is now valid
944 cv = Signal(INDEX_BITS)
945 comb += cv.eq(cache_valid_bits[r.store_index])
946 comb += cv.bit_select(replace_way, 1).eq(
947 r.store_valid & ~inval_in
948 )
949 sync += cache_valid_bits[r.store_index].eq(cv)
950
951 sync += r.state.eq(State.IDLE)
952
953 # Increment store row counter
954 sync += r.store_row.eq(next_row(r.store_row))
955
956 # Calculate the next row address
957 rarange = Signal(LINE_OFF_BITS - ROW_OFF_BITS)
958 comb += rarange.eq(
959 r.req_adr[ROW_OFF_BITS:LINE_OFF_BITS] + 1
960 )
961 sync += r.req_adr[ROW_OFF_BITS:LINE_OFF_BITS].eq(
962 rarange
963 )
964 sync += Display("RARANGE r.req_adr:%x rarange:%x "
965 "stbs_zero:%x stbs_done:%x",
966 r.req_adr, rarange, stbs_zero, stbs_done)
967
968
969 # TLB miss and protection fault processing
970 with m.If(flush_in | m_in.tlbld):
971 sync += r.fetch_failed.eq(0)
972 with m.Elif(i_in.req & ~access_ok & ~stall_in):
973 sync += r.fetch_failed.eq(1)
974
975 # icache_log: if LOG_LENGTH > 0 generate
976 def icache_log(self, m, req_hit_way, ra_valid, access_ok,
977 req_is_miss, req_is_hit, lway, wstate, r):
978 comb = m.d.comb
979 sync = m.d.sync
980
981 wb_in, i_out = self.wb_in, self.i_out
982 log_out, stall_out = self.log_out, self.stall_out
983
984 # -- Output data to logger
985 # signal log_data : std_ulogic_vector(53 downto 0);
986 # begin
987 # data_log: process(clk)
988 # variable lway: way_t;
989 # variable wstate: std_ulogic;
990 # Output data to logger
991 for i in range(LOG_LENGTH):
992 # Output data to logger
993 log_data = Signal(54)
994 lway = Signal(NUM_WAYS)
995 wstate = Signal()
996
997 # begin
998 # if rising_edge(clk) then
999 # lway := req_hit_way;
1000 # wstate := '0';
1001 sync += lway.eq(req_hit_way)
1002 sync += wstate.eq(0)
1003
1004 # if r.state /= IDLE then
1005 # wstate := '1';
1006 # end if;
1007 with m.If(r.state != State.IDLE):
1008 sync += wstate.eq(1)
1009
1010 # log_data <= i_out.valid &
1011 # i_out.insn &
1012 # wishbone_in.ack &
1013 # r.wb.adr(5 downto 3) &
1014 # r.wb.stb & r.wb.cyc &
1015 # wishbone_in.stall &
1016 # stall_out &
1017 # r.fetch_failed &
1018 # r.hit_nia(5 downto 2) &
1019 # wstate &
1020 # std_ulogic_vector(to_unsigned(lway, 3)) &
1021 # req_is_hit & req_is_miss &
1022 # access_ok &
1023 # ra_valid;
1024 sync += log_data.eq(Cat(
1025 ra_valid, access_ok, req_is_miss, req_is_hit,
1026 lway, wstate, r.hit_nia[2:6],
1027 r.fetch_failed, stall_out, wb_in.stall, r.wb.cyc,
1028 r.wb.stb, r.wb.adr[3:6], wb_in.ack, i_out.insn,
1029 i_out.valid
1030 ))
1031 # end if;
1032 # end process;
1033 # log_out <= log_data;
1034 comb += log_out.eq(log_data)
1035 # end generate;
1036 # end;
1037
1038 def elaborate(self, platform):
1039
1040 m = Module()
1041 comb = m.d.comb
1042
1043 # Storage. Hopefully "cache_rows" is a BRAM, the rest is LUTs
1044 cache_tags = CacheTagArray()
1045 cache_valid_bits = CacheValidBitsArray()
1046
1047 # signal itlb_valids : tlb_valids_t;
1048 # signal itlb_tags : tlb_tags_t;
1049 # signal itlb_ptes : tlb_ptes_t;
1050 # attribute ram_style of itlb_tags : signal is "distributed";
1051 # attribute ram_style of itlb_ptes : signal is "distributed";
1052 itlb_valid_bits = TLBValidBitsArray()
1053 itlb_tags = TLBTagArray()
1054 itlb_ptes = TLBPtesArray()
1055 # TODO to be passed to nmigen as ram attributes
1056 # attribute ram_style of itlb_tags : signal is "distributed";
1057 # attribute ram_style of itlb_ptes : signal is "distributed";
1058
1059 # -- Privilege bit from PTE EAA field
1060 # signal eaa_priv : std_ulogic;
1061 # Privilege bit from PTE EAA field
1062 eaa_priv = Signal()
1063
1064 # signal r : reg_internal_t;
1065 r = RegInternal()
1066
1067 # -- Async signals on incoming request
1068 # signal req_index : index_t;
1069 # signal req_row : row_t;
1070 # signal req_hit_way : way_t;
1071 # signal req_tag : cache_tag_t;
1072 # signal req_is_hit : std_ulogic;
1073 # signal req_is_miss : std_ulogic;
1074 # signal req_laddr : std_ulogic_vector(63 downto 0);
1075 # Async signal on incoming request
1076 req_index = Signal(NUM_LINES)
1077 req_row = Signal(BRAM_ROWS)
1078 req_hit_way = Signal(NUM_WAYS)
1079 req_tag = Signal(TAG_BITS)
1080 req_is_hit = Signal()
1081 req_is_miss = Signal()
1082 req_laddr = Signal(64)
1083
1084 # signal tlb_req_index : tlb_index_t;
1085 # signal real_addr : std_ulogic_vector(
1086 # REAL_ADDR_BITS - 1 downto 0
1087 # );
1088 # signal ra_valid : std_ulogic;
1089 # signal priv_fault : std_ulogic;
1090 # signal access_ok : std_ulogic;
1091 # signal use_previous : std_ulogic;
1092 tlb_req_index = Signal(TLB_SIZE)
1093 real_addr = Signal(REAL_ADDR_BITS)
1094 ra_valid = Signal()
1095 priv_fault = Signal()
1096 access_ok = Signal()
1097 use_previous = Signal()
1098
1099 # signal cache_out : cache_ram_out_t;
1100 cache_out_row = Signal(ROW_SIZE_BITS)
1101
1102 # signal plru_victim : plru_out_t;
1103 # signal replace_way : way_t;
1104 plru_victim = PLRUOut()
1105 replace_way = Signal(NUM_WAYS)
1106
1107 # call sub-functions putting everything together, using shared
1108 # signals established above
1109 self.rams(m, r, cache_out_row, use_previous, replace_way, req_row)
1110 self.maybe_plrus(m, r, plru_victim)
1111 self.itlb_lookup(m, tlb_req_index, itlb_ptes, itlb_tags,
1112 real_addr, itlb_valid_bits, ra_valid, eaa_priv,
1113 priv_fault, access_ok)
1114 self.itlb_update(m, itlb_valid_bits, itlb_tags, itlb_ptes)
1115 self.icache_comb(m, use_previous, r, req_index, req_row,
1116 req_tag, real_addr, req_laddr, cache_valid_bits,
1117 cache_tags, access_ok, req_is_hit, req_is_miss,
1118 replace_way, plru_victim, cache_out_row)
1119 self.icache_hit(m, use_previous, r, req_is_hit, req_hit_way,
1120 req_index, req_tag, real_addr)
1121 self.icache_miss(m, cache_valid_bits, r, req_is_miss, req_index,
1122 req_laddr, req_tag, replace_way, cache_tags,
1123 access_ok, real_addr)
1124 #self.icache_log(m, log_out, req_hit_way, ra_valid, access_ok,
1125 # req_is_miss, req_is_hit, lway, wstate, r)
1126
1127 return m
1128
1129
1130 # icache_tb.vhdl
1131 #
1132 # library ieee;
1133 # use ieee.std_logic_1164.all;
1134 #
1135 # library work;
1136 # use work.common.all;
1137 # use work.wishbone_types.all;
1138 #
1139 # entity icache_tb is
1140 # end icache_tb;
1141 #
1142 # architecture behave of icache_tb is
1143 # signal clk : std_ulogic;
1144 # signal rst : std_ulogic;
1145 #
1146 # signal i_out : Fetch1ToIcacheType;
1147 # signal i_in : IcacheToDecode1Type;
1148 #
1149 # signal m_out : MmuToIcacheType;
1150 #
1151 # signal wb_bram_in : wishbone_master_out;
1152 # signal wb_bram_out : wishbone_slave_out;
1153 #
1154 # constant clk_period : time := 10 ns;
1155 # begin
1156 # icache0: entity work.icache
1157 # generic map(
1158 # LINE_SIZE => 64,
1159 # NUM_LINES => 4
1160 # )
1161 # port map(
1162 # clk => clk,
1163 # rst => rst,
1164 # i_in => i_out,
1165 # i_out => i_in,
1166 # m_in => m_out,
1167 # stall_in => '0',
1168 # flush_in => '0',
1169 # inval_in => '0',
1170 # wishbone_out => wb_bram_in,
1171 # wishbone_in => wb_bram_out
1172 # );
1173 #
1174 # -- BRAM Memory slave
1175 # bram0: entity work.wishbone_bram_wrapper
1176 # generic map(
1177 # MEMORY_SIZE => 1024,
1178 # RAM_INIT_FILE => "icache_test.bin"
1179 # )
1180 # port map(
1181 # clk => clk,
1182 # rst => rst,
1183 # wishbone_in => wb_bram_in,
1184 # wishbone_out => wb_bram_out
1185 # );
1186 #
1187 # clk_process: process
1188 # begin
1189 # clk <= '0';
1190 # wait for clk_period/2;
1191 # clk <= '1';
1192 # wait for clk_period/2;
1193 # end process;
1194 #
1195 # rst_process: process
1196 # begin
1197 # rst <= '1';
1198 # wait for 2*clk_period;
1199 # rst <= '0';
1200 # wait;
1201 # end process;
1202 #
1203 # stim: process
1204 # begin
1205 # i_out.req <= '0';
1206 # i_out.nia <= (others => '0');
1207 # i_out.stop_mark <= '0';
1208 #
1209 # m_out.tlbld <= '0';
1210 # m_out.tlbie <= '0';
1211 # m_out.addr <= (others => '0');
1212 # m_out.pte <= (others => '0');
1213 #
1214 # wait until rising_edge(clk);
1215 # wait until rising_edge(clk);
1216 # wait until rising_edge(clk);
1217 # wait until rising_edge(clk);
1218 #
1219 # i_out.req <= '1';
1220 # i_out.nia <= x"0000000000000004";
1221 #
1222 # wait for 30*clk_period;
1223 # wait until rising_edge(clk);
1224 #
1225 # assert i_in.valid = '1' severity failure;
1226 # assert i_in.insn = x"00000001"
1227 # report "insn @" & to_hstring(i_out.nia) &
1228 # "=" & to_hstring(i_in.insn) &
1229 # " expected 00000001"
1230 # severity failure;
1231 #
1232 # i_out.req <= '0';
1233 #
1234 # wait until rising_edge(clk);
1235 #
1236 # -- hit
1237 # i_out.req <= '1';
1238 # i_out.nia <= x"0000000000000008";
1239 # wait until rising_edge(clk);
1240 # wait until rising_edge(clk);
1241 # assert i_in.valid = '1' severity failure;
1242 # assert i_in.insn = x"00000002"
1243 # report "insn @" & to_hstring(i_out.nia) &
1244 # "=" & to_hstring(i_in.insn) &
1245 # " expected 00000002"
1246 # severity failure;
1247 # wait until rising_edge(clk);
1248 #
1249 # -- another miss
1250 # i_out.req <= '1';
1251 # i_out.nia <= x"0000000000000040";
1252 #
1253 # wait for 30*clk_period;
1254 # wait until rising_edge(clk);
1255 #
1256 # assert i_in.valid = '1' severity failure;
1257 # assert i_in.insn = x"00000010"
1258 # report "insn @" & to_hstring(i_out.nia) &
1259 # "=" & to_hstring(i_in.insn) &
1260 # " expected 00000010"
1261 # severity failure;
1262 #
1263 # -- test something that aliases
1264 # i_out.req <= '1';
1265 # i_out.nia <= x"0000000000000100";
1266 # wait until rising_edge(clk);
1267 # wait until rising_edge(clk);
1268 # assert i_in.valid = '0' severity failure;
1269 # wait until rising_edge(clk);
1270 #
1271 # wait for 30*clk_period;
1272 # wait until rising_edge(clk);
1273 #
1274 # assert i_in.valid = '1' severity failure;
1275 # assert i_in.insn = x"00000040"
1276 # report "insn @" & to_hstring(i_out.nia) &
1277 # "=" & to_hstring(i_in.insn) &
1278 # " expected 00000040"
1279 # severity failure;
1280 #
1281 # i_out.req <= '0';
1282 #
1283 # std.env.finish;
1284 # end process;
1285 # end;
1286 def icache_sim(dut):
1287 i_out = dut.i_in
1288 i_in = dut.i_out
1289 m_out = dut.m_in
1290
1291 yield i_in.valid.eq(0)
1292 yield i_out.priv_mode.eq(1)
1293 yield i_out.req.eq(0)
1294 yield i_out.nia.eq(0)
1295 yield i_out.stop_mark.eq(0)
1296 yield m_out.tlbld.eq(0)
1297 yield m_out.tlbie.eq(0)
1298 yield m_out.addr.eq(0)
1299 yield m_out.pte.eq(0)
1300 yield
1301 yield
1302 yield
1303 yield
1304 yield i_out.req.eq(1)
1305 yield i_out.nia.eq(Const(0x0000000000000004, 64))
1306 for i in range(30):
1307 yield
1308 yield
1309 valid = yield i_in.valid
1310 nia = yield i_out.nia
1311 insn = yield i_in.insn
1312 print(f"valid? {valid}")
1313 assert valid
1314 assert insn == 0x00000001, \
1315 "insn @%x=%x expected 00000001" % (nia, insn)
1316 yield i_out.req.eq(0)
1317 yield
1318
1319 # hit
1320 yield
1321 yield
1322 yield i_out.req.eq(1)
1323 yield i_out.nia.eq(Const(0x0000000000000008, 64))
1324 yield
1325 yield
1326 valid = yield i_in.valid
1327 nia = yield i_in.nia
1328 insn = yield i_in.insn
1329 assert valid
1330 assert insn == 0x00000002, \
1331 "insn @%x=%x expected 00000002" % (nia, insn)
1332 yield
1333
1334 # another miss
1335 yield i_out.req.eq(1)
1336 yield i_out.nia.eq(Const(0x0000000000000040, 64))
1337 for i in range(30):
1338 yield
1339 yield
1340 valid = yield i_in.valid
1341 nia = yield i_out.nia
1342 insn = yield i_in.insn
1343 assert valid
1344 assert insn == 0x00000010, \
1345 "insn @%x=%x expected 00000010" % (nia, insn)
1346
1347 # test something that aliases
1348 yield i_out.req.eq(1)
1349 yield i_out.nia.eq(Const(0x0000000000000100, 64))
1350 yield
1351 yield
1352 valid = yield i_in.valid
1353 assert ~valid
1354 for i in range(30):
1355 yield
1356 yield
1357 insn = yield i_in.insn
1358 valid = yield i_in.valid
1359 insn = yield i_in.insn
1360 assert valid
1361 assert insn == 0x00000040, \
1362 "insn @%x=%x expected 00000040" % (nia, insn)
1363 yield i_out.req.eq(0)
1364
1365
1366
1367 def test_icache(mem):
1368 dut = ICache()
1369
1370 memory = Memory(width=64, depth=512, init=mem)
1371 sram = SRAM(memory=memory, granularity=8)
1372
1373 m = Module()
1374
1375 m.submodules.icache = dut
1376 m.submodules.sram = sram
1377
1378 m.d.comb += sram.bus.cyc.eq(dut.wb_out.cyc)
1379 m.d.comb += sram.bus.stb.eq(dut.wb_out.stb)
1380 m.d.comb += sram.bus.we.eq(dut.wb_out.we)
1381 m.d.comb += sram.bus.sel.eq(dut.wb_out.sel)
1382 m.d.comb += sram.bus.adr.eq(dut.wb_out.adr)
1383 m.d.comb += sram.bus.dat_w.eq(dut.wb_out.dat)
1384
1385 m.d.comb += dut.wb_in.ack.eq(sram.bus.ack)
1386 m.d.comb += dut.wb_in.dat.eq(sram.bus.dat_r)
1387
1388 # nmigen Simulation
1389 sim = Simulator(m)
1390 sim.add_clock(1e-6)
1391
1392 sim.add_sync_process(wrap(icache_sim(dut)))
1393 with sim.write_vcd('test_icache.vcd'):
1394 sim.run()
1395
1396 if __name__ == '__main__':
1397 dut = ICache()
1398 vl = rtlil.convert(dut, ports=[])
1399 with open("test_icache.il", "w") as f:
1400 f.write(vl)
1401
1402 mem = []
1403 for i in range(512):
1404 mem.append((i*2)| ((i*2+1)<<32))
1405
1406 test_icache(mem)
1407