8f51fde6e4cd8a04633cc480e8d496695a79c02a
[SymbiYosys.git] / tests / 2props1trace.sby
1 [options]
2 mode bmc
3 depth 1
4 expect fail
5
6 [engines]
7 smtbmc
8
9 [script]
10 read -sv top.sv
11 prep -top top
12
13 [file top.sv]
14 module top(
15 input foo,
16 input bar
17 );
18 always @(*) begin
19 assert (foo);
20 assert (bar);
21 end
22 endmodule