81773747df69b7a751c354e272d3484736b10f5b
[SymbiYosys.git] / tests / both_ex.sby
1 [tasks]
2 btormc bmc
3 pono bmc
4 cover
5
6 [options]
7 bmc: mode bmc
8 cover: mode cover
9 depth 5
10 expect pass
11
12 [engines]
13 btormc: btor btormc
14 pono: btor pono
15 cover: btor btormc
16
17 [script]
18 read -sv both_ex.v
19 prep -top test
20
21 [files]
22 both_ex.v