Add --dumptaskinfo option to output some .sby metadata as json
[SymbiYosys.git] / tests / both_ex.v
1 module test(
2 input clk,
3 input [7:0] data
4 );
5
6 localparam MAX_COUNT = 8'd111;
7 reg [7:0] count = 8'd0;
8 reg [7:0] margin = MAX_COUNT;
9
10 always @ (posedge clk) begin
11 if (data > margin) begin
12 count <= 8'd0;
13 margin <= MAX_COUNT;
14 end else begin
15 count <= count + data;
16 margin <= margin - data;
17 end
18
19 assume (data < 8'd40);
20 assert (count <= MAX_COUNT);
21 cover (count == 8'd42);
22 cover (count == 8'd111);
23 end
24
25 endmodule