894cfe1ce74b2d892558da040c28c039c6145b2a
[SymbiYosys.git] / tests / cover.sby
1 [options]
2 mode cover
3 expect pass
4
5 [engines]
6 btor btormc
7
8 [script]
9 read -formal cover.sv
10 prep -top top
11
12 [files]
13 cover.sv