Add --dumptaskinfo option to output some .sby metadata as json
[SymbiYosys.git] / tests / mixed.v
1 module test (input CP, CN, CX, input A, B, output reg XP, XN, YP, YN);
2 always @* begin
3 assume (A || B);
4 assume (!A || !B);
5 assert (A != B);
6 cover (A);
7 cover (B);
8 end
9 always @(posedge CP)
10 XP <= A;
11 always @(negedge CN)
12 XN <= B;
13 always @(posedge CX)
14 YP <= A;
15 always @(negedge CX)
16 YN <= B;
17 endmodule