Add --dumptaskinfo option to output some .sby metadata as json
[SymbiYosys.git] / tests / redxor.v
1 module test(input [7:0] I, output O);
2 assign O = ^I;
3
4 always @(*) begin
5 cover(O==1'b0);
6 cover(O==1'b1);
7 end
8 endmodule