Add --dumptaskinfo option to output some .sby metadata as json
[SymbiYosys.git] / tests / scripted / junit_expect.sby
1 [options]
2 mode bmc
3 depth 1
4 expect fail,timeout
5
6 [engines]
7 smtbmc
8
9 [script]
10 read -formal foo.v
11 prep -top foo
12
13 [file foo.v]
14 module foo;
15 always_comb assert(1);
16 endmodule