Add --dumptaskinfo option to output some .sby metadata as json
[SymbiYosys.git] / tests / stopfirst.sby
1 [options]
2 mode bmc
3 expect fail
4
5 [engines]
6 btor btormc
7
8 [script]
9 read -sv test.sv
10 prep -top test
11
12 [file test.sv]
13 module test(input foo);
14 always @* assert(foo);
15 always @* assert(!foo);
16 endmodule